Index of /alpine/edge/testing/ppc64le
Name
Last modified
Size
Description
Parent Directory
-
sauerbraten-2020.12.29-r4.apk
2025-02-20 18:32
934M
qt-creator-dbg-18.0.1-r0.apk
2025-12-12 19:13
477M
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:25
352M
srb2-data-2.2.15-r2.apk
2025-12-21 03:53
160M
gmsh-dbg-4.15.0-r0.apk
2025-11-04 15:23
153M
organicmaps-2025.09.05.1-r0.apk
2025-10-20 10:33
127M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 20:51
114M
mame-0.251-r0.apk
2024-10-25 20:50
100M
piglit-0_git20241106-r1.apk
2025-05-14 17:30
93M
hyperrogue-13.1i-r0.apk
2025-12-19 03:53
84M
kotlin-language-server-1.3.13-r0.apk
2025-03-28 16:14
83M
mint-y-icons-1.8.9-r0.apk
2025-12-01 06:05
73M
zot-2.1.8-r2.apk
2025-12-04 22:25
72M
mame-arcade-0.251-r0.apk
2024-10-25 20:50
70M
hashcat-6.2.6-r0.apk
2024-10-25 20:48
69M
nextpnr-ice40-0.7-r0.apk
2024-10-25 20:51
69M
llvm-next-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
68M
phosh-osk-data-de-0.42.0-r0.apk
2025-12-16 18:19
64M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-16 18:19
64M
trivy-0.68.2-r0.apk
2025-12-19 11:41
63M
stockfish-17-r0.apk
2025-03-03 22:44
62M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-16 18:19
58M
helmfile-1.1.8-r1.apk
2025-12-04 22:24
57M
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 20:54
57M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-16 18:20
57M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-16 18:20
57M
mame-mess-0.251-r0.apk
2024-10-25 20:50
54M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-16 18:20
54M
cilium-cli-0.16.13-r10.apk
2025-12-04 22:22
53M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-16 18:19
52M
qt-creator-18.0.1-r0.apk
2025-12-12 19:12
52M
mlir-next-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
51M
cataclysm-dda-tiles-0h-r0.apk
2025-03-20 03:10
49M
starfighter-2.4-r0.apk
2024-10-25 20:56
48M
nrf5-sdk-17.1.0-r0.apk
2025-08-19 19:54
47M
flang-next-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
46M
openfire-4.8.1-r1.apk
2024-12-03 14:44
46M
metricbeat-9.2.0-r1.apk
2025-12-04 22:24
45M
go-tools-0.40.0-r0.apk
2025-12-12 15:19
44M
usql-0.19.26-r1.apk
2025-12-04 22:25
42M
php82-dbg-8.2.30-r1.apk
2025-12-17 20:31
41M
argocd-3.2.0-r1.apk
2025-12-04 22:22
40M
extremetuxracer-0.8.3-r0.apk
2024-10-25 20:47
40M
crowdsec-1.7.4-r0.apk
2025-12-05 01:55
38M
cataclysm-dda-lang-0h-r0.apk
2025-03-20 03:10
38M
dolt-1.79.1-r0.apk
2025-12-16 17:24
37M
xmoto-data-0.6.3-r0.apk
2025-10-03 17:03
37M
yaru-icon-theme-25.10.1-r0.apk
2025-07-13 19:49
35M
llvm-next-libs-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
35M
filebeat-9.2.0-r1.apk
2025-12-04 22:23
35M
vals-0.42.4-r1.apk
2025-12-04 22:25
35M
efl-1.28.1-r2.apk
2025-03-23 15:04
34M
clang-next-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
34M
zfs-src-2.4.0-r0.apk
2025-12-19 08:49
33M
stone-soup-0.32.1-r0.apk
2024-10-25 20:57
33M
liquibase-4.9.1-r0.apk
2024-10-25 20:49
32M
oxygen-icons-6.1.0-r0.apk
2024-10-25 20:53
32M
tempo-2.9.0-r1.apk
2025-12-04 22:25
30M
ocaml-reason-dev-3.8.2-r2.apk
2025-10-25 05:11
29M
font-hanazono-20170904-r2.apk
2025-10-03 08:43
29M
spark-2.8.3-r1.apk
2024-10-25 20:56
29M
otrs-6.0.48-r2.apk
2024-10-25 20:53
29M
cfssl-1.6.5-r10.apk
2025-12-04 22:22
28M
cdogs-sdl-2.3.2-r0.apk
2025-10-09 18:47
28M
thelounge-4.4.3-r0.apk
2024-10-25 20:57
28M
popeye-0.22.1-r9.apk
2025-12-04 22:24
27M
vector-0.50.0-r0.apk
2025-10-05 19:28
26M
llvm-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
26M
nextpnr-ecp5-0.7-r0.apk
2024-10-25 20:51
25M
flang-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
25M
yosys-0.57-r0.apk
2025-10-24 22:33
25M
maxima-5.48.1-r9.apk
2025-08-22 10:31
25M
nsq-1.3.0-r10.apk
2025-05-14 17:29
24M
android-apktool-2.12.1-r0.apk
2025-10-14 14:54
24M
reason-rtop-3.8.2-r2.apk
2025-10-25 05:11
24M
mint-x-icons-1.7.5-r0.apk
2025-12-01 06:05
23M
stacker-1.1.3-r1.apk
2025-12-04 22:25
23M
angband-4.2.5-r0.apk
2024-10-25 20:45
23M
timoni-0.23.0-r10.apk
2025-12-04 22:25
22M
knative-client-1.19.6-r1.apk
2025-12-04 22:24
22M
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 20:49
22M
mlir-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
22M
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 00:21
22M
avara-0.7.1-r1.apk
2024-11-04 08:53
21M
tempo-cli-2.9.0-r1.apk
2025-12-04 22:25
21M
telegram-tdlib-static-1.8.51-r0.apk
2025-08-02 10:28
21M
mlir-next-libs-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
21M
tinymist-0.14.2-r0.apk
2025-11-22 16:39
21M
helm-diff-3.13.1-r1.apk
2025-12-04 22:23
21M
py3-plotly-5.24.1-r2.apk
2025-04-18 22:26
20M
eclib-static-20250627-r2.apk
2025-11-26 08:43
20M
helm-mapkubeapis-0.6.1-r1.apk
2025-12-04 22:23
20M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-16 18:20
20M
reason-3.8.2-r2.apk
2025-10-25 05:11
20M
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 20:54
19M
cataclysm-dda-0h-r0.apk
2025-03-20 03:10
19M
zafiro-icon-theme-1.3-r0.apk
2024-10-25 20:58
19M
mame-data-0.251-r0.apk
2024-10-25 20:50
19M
rke-1.4.3-r20.apk
2025-12-04 22:24
19M
bettercap-2.41.5-r0.apk
2025-12-16 17:24
19M
plakar-1.0.6-r1.apk
2025-12-04 22:24
18M
wtfutil-0.43.0-r18.apk
2025-12-04 22:25
18M
font-babelstone-han-15.1.3-r0.apk
2024-10-25 20:47
18M
lomiri-sounds-25.01-r0.apk
2025-08-19 20:13
18M
stalwart-mail-0.15.2-r0.apk
2025-12-23 01:38
18M
stern-1.33.0-r1.apk
2025-12-04 22:25
18M
helm-ls-0.5.4-r1.apk
2025-12-04 22:23
18M
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 20:45
18M
keybase-client-6.2.8-r15.apk
2025-12-04 22:24
18M
clang-next-libs-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
17M
rt5-5.0.8-r0.apk
2025-06-15 04:45
17M
hubble-cli-0.13.6-r10.apk
2025-12-04 22:24
17M
httpx-1.7.4-r0.apk
2025-12-09 23:38
17M
faust-doc-2.79.3-r0.apk
2025-06-07 18:47
17M
icestorm-0_git20240517-r0.apk
2024-10-25 20:48
17M
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-16 18:20
16M
dnscontrol-4.29.0-r0.apk
2025-12-18 21:14
16M
pypy-bootstrap-7.3.19-r0.apk
2025-11-04 15:23
16M
typlite-0.14.2-r0.apk
2025-11-22 16:39
16M
semaphoreui-2.16.37-r1.apk
2025-12-04 22:25
16M
kubepug-1.7.1-r15.apk
2025-12-04 22:24
16M
pypy-7.3.19-r0.apk
2025-11-04 15:23
16M
ocaml-reason-3.8.2-r2.apk
2025-10-25 05:11
15M
kopia-0.21.1-r4.apk
2025-12-04 22:24
15M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-16 18:20
15M
libresprite-1.2-r0.apk
2025-04-13 22:18
15M
btcd-0.24.0-r13.apk
2025-12-04 22:22
15M
kanidm-server-1.8.5-r0.apk
2025-12-13 18:57
15M
prometheus-podman-exporter-1.18.1-r2.apk
2025-12-04 22:24
14M
virtctl-1.6.2-r1.apk
2025-12-04 22:25
14M
buf-1.59.0-r1.apk
2025-12-04 22:22
14M
tempo-vulture-2.9.0-r1.apk
2025-12-04 22:25
14M
yass-2.5.0-r0.apk
2024-10-25 20:58
14M
tabiew-0.11.0-r0.apk
2025-07-30 08:11
14M
vice-3.9-r2.apk
2025-10-03 08:45
14M
advancemame-3.9-r4.apk
2024-10-25 20:45
13M
katana-1.2.2-r3.apk
2025-12-04 22:24
13M
buf-protoc-plugins-1.59.0-r1.apk
2025-12-04 22:22
13M
taskcafe-0.3.6-r18.apk
2025-12-04 22:25
13M
utop-full-2.9.1-r4.apk
2024-10-25 20:58
13M
utop-2.9.1-r4.apk
2024-10-25 20:58
13M
regclient-0.11.1-r0.apk
2025-12-08 10:42
13M
mtail-3.2.26-r1.apk
2025-12-04 22:24
13M
gprbuild-25.0.0-r0.apk
2025-10-29 02:57
13M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 20:49
13M
vcmi-1.6.8-r2.apk
2025-10-03 08:45
13M
linuxkit-1.8.2-r1.apk
2025-12-04 22:24
13M
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
kube-no-trouble-0.7.3-r10.apk
2025-12-04 22:24
13M
ibus-typing-booster-2.29.0-r0.apk
2025-12-16 17:24
13M
fynedesk-0.4.0-r2.apk
2025-12-04 22:23
13M
mapnik-4.1.4-r0.apk
2025-12-04 22:24
12M
gmic-3.6.0-r1.apk
2025-10-25 09:01
12M
rt6-6.0.1-r0.apk
2025-08-12 08:18
12M
kismet-0.202509.1-r0.apk
2025-10-09 04:09
12M
linkquisition-1.6.1-r10.apk
2025-12-04 22:24
12M
noggin-model-0.1-r0.apk
2024-10-25 20:51
12M
libclc-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
12M
cataclysm-dda-curses-0h-r0.apk
2025-03-20 03:10
12M
melange-0.37.0-r0.apk
2025-12-16 17:24
12M
singular-4.4.1_p2-r1.apk
2025-11-26 08:44
12M
jackal-0.64.0-r20.apk
2025-12-04 22:24
11M
regal-0.36.1-r1.apk
2025-12-04 22:24
11M
helm-unittest-1.0.3-r1.apk
2025-12-04 22:23
11M
ghc-release-cabal-3.17.0.0_pre20251116-r0.apk
2025-11-17 10:47
11M
eccodes-2.44.0-r0.apk
2025-10-09 00:04
11M
flightgear-2024.1.1-r0.apk
2025-03-05 00:21
11M
ldc-stage0-1.41.0-r0.apk
2025-06-07 17:13
11M
chasquid-1.17.0-r0.apk
2025-12-19 15:57
11M
adguardhome-0.107.71-r0.apk
2025-12-13 16:13
11M
kubeseal-0.32.1-r2.apk
2025-12-04 22:24
11M
dbmate-2.28.0-r1.apk
2025-12-04 22:23
11M
rio-0.2.35-r0.apk
2025-11-14 22:59
11M
stayrtr-0.6.3-r1.apk
2025-12-04 22:25
11M
r2ghidra-6.0.2-r0.apk
2025-10-03 08:45
10M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 20:54
10M
termusic-mpv-0.12.0-r0.apk
2025-10-13 01:35
10M
mangal-4.0.6-r23.apk
2025-12-04 22:24
10M
haxe-4.3.3-r1.apk
2025-03-22 14:44
10M
clang-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
10M
clang-next-libclang-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
9.9M
openapi-validator-1.19.2-r0.apk
2024-10-25 20:52
9.9M
ko-0.17.1-r10.apk
2025-12-04 22:24
9.9M
zot-cli-2.1.8-r2.apk
2025-12-04 22:25
9.9M
mods-1.8.1-r3.apk
2025-12-04 22:24
9.9M
sq-0.48.5-r10.apk
2025-12-04 22:25
9.9M
haproxy-dataplaneapi2-2.9.18-r2.apk
2025-12-04 22:23
9.9M
seed7-05.20240322-r0.apk
2024-10-25 20:56
9.8M
undock-0.10.0-r5.apk
2025-12-04 22:25
9.8M
pspp-2.0.1-r1.apk
2025-10-06 11:33
9.7M
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 10:31
9.6M
gmsh-4.15.0-r0.apk
2025-11-04 15:22
9.6M
git-bug-0.8.1-r6.apk
2025-12-04 22:23
9.6M
opcr-policy-0.3.1-r1.apk
2025-12-04 22:24
9.6M
unicorn-dev-2.1.4-r0.apk
2025-10-14 14:04
9.6M
llvm-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
9.5M
remco-0.12.5-r5.apk
2025-12-04 22:24
9.4M
tenv-4.9.0-r1.apk
2025-12-04 22:25
9.3M
darkradiant-3.9.0-r0.apk
2025-10-03 08:43
9.3M
pixi-0.24.2-r0.apk
2024-10-25 20:53
9.1M
linphone-5.3.38-r0.apk
2024-10-25 20:49
9.0M
piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:34
9.0M
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 20:49
9.0M
bomctl-0.1.9-r11.apk
2025-12-04 22:22
9.0M
cc65-2.19-r0.apk
2024-10-25 20:45
8.9M
wasmtime-39.0.1-r0.apk
2025-12-08 21:05
8.9M
jfrog-cli-2.45.0-r17.apk
2025-12-04 22:24
8.9M
texlab-5.23.1-r0.apk
2025-08-22 07:33
8.8M
fplll-static-5.5.0-r0.apk
2024-11-17 04:09
8.7M
cloudfoundry-cli-8.7.9-r14.apk
2025-12-04 22:22
8.6M
py3-apicula-0.11.1-r1.apk
2024-10-25 20:53
8.5M
mir-dev-2.25.2-r0.apk
2025-12-18 14:23
8.4M
grpcui-1.5.1-r4.apk
2025-12-04 22:23
8.4M
transito-0.10.0-r0.apk
2025-12-21 22:46
8.3M
oauth2-proxy-7.11.0-r4.apk
2025-12-04 22:24
8.3M
davmail-6.5.1-r0.apk
2025-11-15 00:54
8.3M
kanidm-unixd-clients-1.8.5-r0.apk
2025-12-13 18:57
8.3M
biome-2.3.10-r0.apk
2025-12-23 03:34
8.3M
lldb-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
8.2M
wlroots0.18-static-0.18.3-r0.apk
2025-11-28 23:54
8.1M
unicorn-2.1.4-r0.apk
2025-10-14 14:04
8.0M
glmark2-2023.01-r1.apk
2024-10-25 20:47
8.0M
grpcurl-1.9.3-r8.apk
2025-12-04 22:23
7.9M
py3-okonomiyaki-2.0.0-r1.apk
2025-10-14 18:14
7.9M
faust-2.79.3-r0.apk
2025-06-07 18:47
7.9M
fplll-libs-5.5.0-r0.apk
2024-11-17 04:09
7.8M
warp-s3-1.3.1-r1.apk
2025-12-04 22:25
7.8M
sish-2.16.1-r10.apk
2025-12-04 22:25
7.8M
telegram-bot-api-9.1-r0.apk
2025-08-02 10:39
7.8M
telegram-tdlib-1.8.51-r0.apk
2025-08-02 10:28
7.8M
go-away-0.7.0-r1.apk
2025-09-06 17:17
7.7M
azote-1.14.0-r0.apk
2024-12-14 21:36
7.6M
kine-0.10.1-r18.apk
2025-12-04 22:24
7.6M
psst-0_git20240526-r1.apk
2024-10-25 20:53
7.5M
protoconf-0.1.7-r18.apk
2025-12-04 22:24
7.4M
ffmpeg4-libavcodec-4.4.5-r4.apk
2025-10-19 09:50
7.4M
desync-0.9.6-r10.apk
2025-12-04 22:23
7.4M
gambit-4.9.5-r1.apk
2025-04-07 07:48
7.3M
filebrowser-2.27.0-r16.apk
2025-12-04 22:23
7.2M
gambit-dev-4.9.5-r1.apk
2025-04-07 07:48
7.2M
coccinelle-1.1.1-r2.apk
2024-10-25 20:46
7.1M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 20:49
7.1M
mlir-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
7.1M
singular-static-4.4.1_p2-r1.apk
2025-11-26 08:44
7.1M
amdgpu_top-0.11.0-r0.apk
2025-09-03 00:49
7.0M
yaegi-0.16.1-r13.apk
2025-12-04 22:25
7.0M
theforceengine-1.09.540-r1.apk
2024-10-25 20:57
7.0M
ty-0.0.4-r0.apk
2025-12-21 18:52
7.0M
ovhcloud-cli-0.9.0-r0.apk
2025-12-14 10:05
7.0M
inlyne-0.5.0-r0.apk
2025-12-22 01:54
7.0M
clang-next-rtlib-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
7.0M
tanka-0.35.0-r1.apk
2025-12-04 22:25
6.9M
gb-0.4.4-r36.apk
2025-12-04 22:23
6.9M
upterm-0.17.0-r1.apk
2025-12-04 22:25
6.8M
fpc-stage0-3.2.2-r3.apk
2024-10-25 20:47
6.8M
nauty-2.9.1-r0.apk
2025-09-08 16:46
6.8M
kompose-1.31.2-r15.apk
2025-12-04 22:24
6.8M
nom-2.8.0-r8.apk
2025-12-04 22:24
6.8M
sqlmap-1.9.12-r0.apk
2025-12-12 20:05
6.7M
zrepl-0.6.1-r16.apk
2025-12-04 22:25
6.6M
py3-flask-admin-1.6.1-r3.apk
2024-10-25 20:54
6.5M
ovn-dbg-25.09.2-r0.apk
2025-12-14 22:06
6.5M
libdcmtk-3.6.9-r0.apk
2025-01-19 16:48
6.5M
go-jsonnet-0.21.0-r5.apk
2025-12-04 22:23
6.5M
upterm-server-0.17.0-r1.apk
2025-12-04 22:25
6.5M
cargo-crev-0.26.3-r0.apk
2025-03-02 16:49
6.5M
compiz-0.9.14.2-r13.apk
2025-11-29 00:01
6.4M
sydbox-utils-3.45.2-r0.apk
2025-12-03 02:59
6.4M
clementine-1.4.1_git20250503-r0.apk
2025-06-12 14:19
6.4M
tempo-query-2.9.0-r1.apk
2025-12-04 22:25
6.4M
muse-4.2.1-r2.apk
2025-05-14 17:29
6.4M
theforceengine-doc-1.09.540-r1.apk
2024-10-25 20:57
6.3M
rustic-0.9.3-r0.apk
2024-10-25 20:54
6.2M
mautrix-zulip-0.2511.0-r1.apk
2025-12-04 22:24
6.2M
goshs-1.1.3-r0.apk
2025-12-18 21:15
6.1M
mautrix-linkedin-0.2512.0-r0.apk
2025-12-16 18:23
6.1M
ocaml-camlpdf-2.8.1-r0.apk
2025-05-08 12:40
6.1M
wf-shell-0.9.0-r0.apk
2025-02-27 20:19
6.1M
oniux-0.6.1-r0.apk
2025-09-08 15:39
6.1M
yoshimi-2.3.3.3-r0.apk
2025-03-13 22:33
6.1M
rattler-build-0.18.0-r0.apk
2024-10-25 20:54
6.1M
crowdsec-slack-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
crowdsec-email-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
crowdsec-file-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
crowdsec-http-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
crowdsec-sentinel-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
crowdsec-splunk-plugin-1.7.4-r0.apk
2025-12-05 01:55
6.0M
go-passbolt-cli-0.3.2-r8.apk
2025-12-04 22:23
5.9M
pihole-6.2.3-r0.apk
2025-06-20 18:06
5.8M
advancemame-data-3.9-r4.apk
2024-10-25 20:45
5.8M
glow-2.1.1-r5.apk
2025-12-04 22:23
5.7M
rizin-libs-0.8.1-r0.apk
2025-06-30 16:51
5.7M
drone-cli-1.8.0-r15.apk
2025-12-04 22:23
5.7M
libwasmtime-static-39.0.1-r0.apk
2025-12-08 21:05
5.6M
virter-0.29.0-r5.apk
2025-12-04 22:25
5.6M
cargo-geiger-0.13.0-r0.apk
2025-10-10 17:35
5.6M
lefthook-2.0.4-r1.apk
2025-12-04 22:24
5.6M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 20:54
5.6M
spacectl-1.12.0-r6.apk
2025-12-04 22:25
5.5M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 20:47
5.5M
qbittorrent-cli-2.2.0-r5.apk
2025-12-04 22:24
5.5M
comics-downloader-gui-0.33.8-r15.apk
2025-12-04 22:23
5.4M
alps-0_git20230807-r17.apk
2025-12-04 22:22
5.4M
secsipidx-dev-1.3.2-r17.apk
2025-12-04 22:25
5.4M
cvise-2.11.0-r0.apk
2025-03-08 13:15
5.4M
kubectl-oidc_login-1.34.2-r1.apk
2025-12-04 22:24
5.4M
speedtest-go-1.1.5-r20.apk
2025-12-04 22:25
5.3M
templ-0.3.960-r1.apk
2025-12-04 22:25
5.2M
abc-0_git20240102-r0.apk
2024-10-25 20:45
5.2M
scalingo-1.30.0-r15.apk
2025-12-04 22:25
5.2M
dhewm3-1.5.4-r0.apk
2025-02-18 22:21
5.2M
dustracing2d-2.1.1-r1.apk
2024-10-25 20:46
5.2M
cargo-shuttle-0.56.6-r0.apk
2025-07-29 07:57
5.1M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 20:51
5.1M
cargo-udeps-0.1.59-r0.apk
2025-10-03 08:43
5.1M
swi-prolog-9.2.9-r0.apk
2024-12-21 10:09
5.1M
elementary-icon-theme-8.1.0-r0.apk
2025-05-14 17:28
5.0M
ocaml-cpdf-2.8.1-r0.apk
2025-05-08 12:40
5.0M
flint-3.4.0-r0.apk
2025-11-26 08:43
5.0M
sydbox-3.45.2-r0.apk
2025-12-03 02:59
5.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
py3-nwdiag-3.0.0-r3.apk
2024-10-25 20:54
4.9M
nzbget-25.4-r0.apk
2025-11-17 14:41
4.9M
atac-0.18.1-r0.apk
2024-11-25 22:19
4.9M
conntracct-0.2.7-r36.apk
2025-12-04 22:23
4.9M
qownnotes-lang-25.12.5-r0.apk
2025-12-14 16:16
4.9M
acmeleaf-0.2.0-r1.apk
2025-12-04 22:22
4.9M
s5cmd-2.3.0-r8.apk
2025-12-04 22:25
4.8M
gx-go-1.9.0-r37.apk
2025-12-04 22:23
4.8M
envconsul-0.13.4-r1.apk
2025-12-04 22:23
4.8M
fathom-1.3.1-r18.apk
2025-12-04 22:23
4.7M
tfupdate-0.8.2-r11.apk
2025-12-04 22:25
4.7M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 20:54
4.7M
ostui-1.0.4-r0.apk
2025-09-11 02:50
4.7M
geodns-3.3.0-r18.apk
2025-12-04 22:23
4.7M
prometheus-opnsense-exporter-0.0.11-r2.apk
2025-12-04 22:24
4.7M
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-06 17:16
4.7M
prometheus-fastly-exporter-10.1.0-r0.apk
2025-12-12 15:01
4.6M
packwiz-0_git20251102-r1.apk
2025-12-04 22:24
4.6M
flare-engine-1.14-r1.apk
2025-11-05 14:58
4.6M
geonames-lang-0.3.1-r2.apk
2024-10-25 20:47
4.6M
gx-0.14.3-r35.apk
2025-12-04 22:23
4.6M
mobroute-0.10.0-r5.apk
2025-12-04 22:24
4.5M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 22:33
4.5M
prometheus-smartctl-exporter-0.14.0-r5.apk
2025-12-04 22:24
4.5M
reg-0.16.1-r33.apk
2025-12-04 22:24
4.5M
nauty-dev-2.9.1-r0.apk
2025-09-08 16:46
4.5M
spotify-player-0.20.4-r0.apk
2025-03-02 16:49
4.5M
rustical-0.9.14-r0.apk
2025-11-04 15:23
4.5M
ytt-0.52.1-r2.apk
2025-12-04 22:25
4.4M
kubectl-krew-0.4.5-r7.apk
2025-12-04 22:24
4.4M
i3status-rust-0.34.0-r0.apk
2025-07-19 05:43
4.4M
tqm-1.18.0-r0.apk
2025-12-13 17:48
4.4M
wabt-1.0.37-r0.apk
2025-04-06 21:41
4.4M
xemu-0.8.74-r0.apk
2025-06-19 00:22
4.4M
wgcf-2.2.29-r1.apk
2025-12-04 22:25
4.4M
acmetool-0.2.2-r18.apk
2025-12-04 22:22
4.4M
mtg-2.1.7-r26.apk
2025-12-04 22:24
4.4M
projectm-presets-3.1.12-r2.apk
2024-10-25 20:53
4.4M
arc-icon-theme-20161122-r0.apk
2024-10-25 20:45
4.4M
py3-nikola-8.3.3-r0.apk
2025-10-11 10:48
4.3M
jsonnet-language-server-0.16.0-r1.apk
2025-12-04 22:24
4.3M
mqtt2prometheus-0.1.7-r21.apk
2025-12-04 22:24
4.3M
geodns-logs-3.3.0-r18.apk
2025-12-04 22:23
4.3M
tonutils-reverse-proxy-0.4.6-r4.apk
2025-12-04 22:25
4.2M
pspp-dbg-2.0.1-r1.apk
2025-10-06 11:33
4.2M
openocd-git-dbg-0_git20251018-r1.apk
2025-10-20 19:48
4.2M
gotify-cli-2.3.2-r10.apk
2025-12-04 22:23
4.1M
muse-doc-4.2.1-r2.apk
2025-05-14 17:29
4.1M
openapi-tui-0.9.4-r1.apk
2024-10-25 20:52
4.1M
prometheus-rethinkdb-exporter-1.0.1-r33.apk
2025-12-04 22:24
4.1M
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:29
4.0M
cortex-tenant-1.15.7-r2.apk
2025-12-04 22:23
4.0M
java-jtharness-6.0_p12-r0.apk
2024-10-25 20:48
4.0M
horizon-dbg-0.9.6-r9.apk
2024-10-25 20:48
4.0M
mkbrr-1.18.0-r1.apk
2025-12-04 22:24
4.0M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 20:54
4.0M
exercism-3.2.0-r18.apk
2025-12-04 22:23
4.0M
lomiri-0.5.0-r4.apk
2025-12-18 14:23
4.0M
amule-2.3.3-r13.apk
2024-10-25 20:45
3.9M
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 20:53
3.9M
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 20:51
3.9M
dnote-0.16.0-r0.apk
2025-12-10 19:01
3.9M
invidtui-0.4.6-r10.apk
2025-12-04 22:24
3.9M
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 17:15
3.9M
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 20:51
3.9M
otrs-dev-6.0.48-r2.apk
2024-10-25 20:53
3.9M
clang-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
3.9M
advancemame-mess-3.9-r4.apk
2024-10-25 20:45
3.9M
pathvector-6.3.2-r18.apk
2025-12-04 22:24
3.8M
yaru-common-25.10.1-r0.apk
2025-07-13 19:49
3.8M
manifest-tool-2.2.0-r6.apk
2025-12-04 22:24
3.8M
zot-exporter-2.1.8-r2.apk
2025-12-04 22:25
3.8M
speedtest_exporter-0.3.2-r20.apk
2025-12-04 22:25
3.8M
sshm-1.9.0-r1.apk
2025-12-04 22:25
3.8M
openfire-doc-4.8.1-r1.apk
2024-12-03 14:44
3.8M
dive-0.13.0-r7.apk
2025-12-04 22:23
3.7M
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 11:02
3.7M
svls-0.2.14-r0.apk
2025-12-13 03:00
3.7M
netscanner-0.5.1-r1.apk
2025-07-01 18:42
3.7M
comics-downloader-0.33.8-r15.apk
2025-12-04 22:22
3.7M
autorestic-1.8.3-r10.apk
2025-12-04 22:22
3.7M
tty-share-2.4.0-r23.apk
2025-12-04 22:25
3.6M
libwasmtime-39.0.1-r0.apk
2025-12-08 21:05
3.6M
simh-3.11.1-r1.apk
2024-10-25 20:56
3.6M
ghq-1.8.0-r6.apk
2025-12-04 22:23
3.6M
kanidm-clients-1.8.5-r0.apk
2025-12-13 18:57
3.6M
porla-0.41.0-r2.apk
2025-02-24 15:35
3.6M
certigo-1.16.0-r28.apk
2025-12-04 22:22
3.6M
ocaml-gettext-0.4.2-r3.apk
2024-10-25 20:51
3.6M
borogove-sdk-0_git20251206-r0.apk
2025-12-07 16:00
3.6M
phpactor-2025.10.17.0-r0.apk
2025-11-19 03:58
3.6M
alpine-lift-0.2.0-r28.apk
2025-12-04 22:22
3.6M
Alpine Linux
libcpdf-static-2.8.1-r0.apk
2025-05-08 12:40
3.6M
webtunnel-0.0.2-r6.apk
2025-12-04 22:25
3.6M
lotide-0.15.0-r0.apk
2024-10-25 20:49
3.6M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 20:51
3.6M
gobuster-3.8.0-r3.apk
2025-12-04 22:23
3.5M
kubeconform-0.7.0-r3.apk
2025-12-04 22:24
3.5M
maildir2rss-0.0.7-r10.apk
2025-12-04 22:24
3.5M
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-14 17:30
3.5M
prometheus-ceph-exporter-4.2.5-r9.apk
2025-12-04 22:24
3.5M
Ceph
gomp-1.0.0-r17.apk
2025-12-04 22:23
3.5M
kapow-0.7.1-r18.apk
2025-12-04 22:24
3.5M
frescobaldi-3.3.0-r1.apk
2024-10-25 20:47
3.5M
hilbish-2.3.4-r10.apk
2025-12-04 22:24
3.5M
dstask-import-0.27-r4.apk
2025-12-04 22:23
3.4M
apx-2.4.5-r5.apk
2025-12-04 22:22
3.4M
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-09 11:12
3.4M
vym-doc-2.9.26-r0.apk
2024-10-25 20:58
3.4M
ticker-5.0.7-r7.apk
2025-12-04 22:25
3.4M
khinsider-2.0.7-r25.apk
2025-12-04 22:24
3.4M
pug-0.6.5-r0.apk
2025-12-04 22:24
3.4M
prometheus-smtp2go-exporter-0.1.1-r4.apk
2025-12-04 22:24
3.3M
nsh-dbg-0.4.2-r1.apk
2024-10-25 20:51
3.3M
ntpd-rs-1.6.2-r2.apk
2025-12-18 17:57
3.3M
hardinfo2-2.2.13-r0.apk
2025-10-03 08:43
3.3M
dockerize-0.9.6-r2.apk
2025-12-04 22:23
3.3M
drupal7-7.103-r0.apk
2024-12-05 11:04
3.3M
symengine-0.12.0-r0.apk
2024-10-25 20:57
3.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 20:46
3.3M
nauty-libs-2.9.1-r0.apk
2025-09-08 16:46
3.2M
ruuvi-prometheus-0.1.9-r7.apk
2025-12-04 22:25
3.2M
maildir-rank-addr-1.4.1-r1.apk
2025-12-04 22:24
3.2M
ripasso-cursive-0.7.0-r0.apk
2025-05-23 08:56
3.2M
eww-0.6.0-r0.apk
2025-11-09 19:43
3.2M
webhookd-1.20.2-r5.apk
2025-12-04 22:25
3.2M
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.2M
jsonnet-bundler-0.6.0-r10.apk
2025-12-04 22:24
3.2M
gmic-libs-3.6.0-r1.apk
2025-10-25 09:01
3.1M
gliderlabs-sigil-0.11.0-r10.apk
2025-12-04 22:23
3.1M
aprilsh-client-0.7.12-r10.apk
2025-12-04 22:22
3.1M
linphone-libs-5.3.38-r0.apk
2024-10-25 20:49
3.1M
sonicradio-0.8.4-r2.apk
2025-12-04 22:25
3.1M
aws-ecr-get-login-password-1.0.0_rc2-r1.apk
2025-12-04 22:22
3.1M
lbb-0.10.4-r1.apk
2025-12-04 22:24
3.1M
normaliz-libs-3.10.4-r3.apk
2025-11-26 08:43
3.1M
octoprint-1.10.3-r0.apk
2024-12-25 22:04
3.0M
butane-0.25.1-r2.apk
2025-12-04 22:22
3.0M
sydbox-oci-3.45.2-r0.apk
2025-12-03 02:59
3.0M
budgie-control-center-1.4.0-r0.apk
2025-10-16 17:15
3.0M
lychee-0.19.1-r0.apk
2025-06-17 08:02
3.0M
apt-swarm-0.5.1-r1.apk
2025-10-12 13:45
3.0M
walk-1.13.0-r8.apk
2025-12-04 22:25
3.0M
emmylua-ls-0.17.0-r0.apk
2025-12-22 00:15
3.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
botan2-libs-2.19.5-r0.apk
2025-05-28 21:38
2.9M
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 20:49
2.9M
mame-tools-0.251-r0.apk
2024-10-25 20:50
2.9M
comet-0.3.1-r0.apk
2025-11-29 19:50
2.9M
fceux-2.6.6-r4.apk
2025-10-03 08:43
2.9M
chimerautils-dbg-15.0.2-r0.apk
2025-12-08 17:20
2.9M
innernet-1.6.1-r0.apk
2024-10-25 20:48
2.9M
suru-icon-theme-2025.05.0-r0.apk
2025-05-14 17:30
2.9M
redlib-0.36.0-r0.apk
2025-10-27 08:52
2.9M
msh-2.5.0-r17.apk
2025-12-04 22:24
2.8M
consul-replicate-0.4.0-r36.apk
2025-12-04 22:23
2.8M
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 20:49
2.8M
vym-2.9.26-r0.apk
2024-10-25 20:58
2.8M
pyonji-0.1.0-r11.apk
2025-12-04 22:24
2.8M
vidcutter-6.0.5.3-r0.apk
2024-10-25 20:58
2.8M
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 20:56
2.8M
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:17
2.8M
hub-2.14.2-r36.apk
2025-12-04 22:24
2.8M
font-monaspace-radon-1.101-r0.apk
2024-10-25 20:47
2.7M
tartube-2.5.0-r2.apk
2025-12-09 12:32
2.7M
recoll-1.37.5-r1.apk
2024-10-25 20:54
2.7M
sipexer-1.2.0-r8.apk
2025-12-04 22:25
2.7M
copyq-13.0.0-r1.apk
2025-12-04 22:23
2.7M
terminology-1.14.0-r0.apk
2025-05-27 23:25
2.7M
dmarc-cat-0.15.0-r10.apk
2025-12-04 22:23
2.7M
pitivi-2023.03-r2.apk
2024-12-22 22:04
2.7M
rizin-0.8.1-r0.apk
2025-06-30 16:51
2.7M
yices2-2.6.5-r0.apk
2025-03-02 23:06
2.7M
secsipidx-1.3.2-r17.apk
2025-12-04 22:25
2.7M
sos-0.8-r36.apk
2025-12-04 22:25
2.7M
rizin-cutter-2.4.1-r0.apk
2025-06-30 16:51
2.6M
stalwart-cli-0.15.2-r0.apk
2025-12-23 01:38
2.6M
wayfire-0.9.0-r0.apk
2025-02-27 20:19
2.6M
llvm-next-polly-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
2.6M
gtkwave-3.3.120-r0.apk
2024-10-25 20:48
2.6M
k3sup-0.13.6-r10.apk
2025-12-04 22:24
2.6M
tmpl-0.4.0-r16.apk
2025-12-04 22:25
2.6M
rtl8192eu-src-5.11.2.3_git20251017-r0.apk
2025-12-04 22:24
2.6M
libgdcm-3.2.2-r3.apk
2025-12-02 06:51
2.6M
kronosnet-dev-1.30-r0.apk
2025-05-27 23:27
2.6M
qownnotes-25.12.5-r0.apk
2025-12-14 16:16
2.6M
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 20:51
2.5M
tangctl-0_git20241007-r9.apk
2025-12-04 22:25
2.5M
eclipse-ecj-4.37-r0.apk
2025-10-03 08:43
2.5M
mailsec-check-0_git20210729-r31.apk
2025-12-04 22:24
2.5M
cherrytree-1.4.0-r0.apk
2025-03-26 17:41
2.5M
hurl-7.1.0-r0.apk
2025-12-05 18:42
2.5M
tty-proxy-0.0.2-r33.apk
2025-12-04 22:25
2.5M
mir-2.25.2-r0.apk
2025-12-18 14:23
2.5M
aports-glmr-0.2-r33.apk
2025-12-04 22:22
2.5M
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 12:33
2.5M
mmar-0.2.5-r1.apk
2025-05-14 17:29
2.5M
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 20:54
2.5M
emmylua-doc-cli-0.17.0-r0.apk
2025-12-22 00:15
2.5M
docker-volume-local-persist-1.3.0-r38.apk
2025-12-04 22:23
2.5M
py3-seqdiag-3.0.0-r5.apk
2024-10-25 20:54
2.5M
librespot-0.8.0-r0.apk
2025-11-20 07:59
2.5M
wmi-client-1.3.16-r5.apk
2024-10-25 20:58
2.5M
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 20:54
2.4M
cargo-generate-0.23.5-r0.apk
2025-10-04 13:16
2.4M
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.4M
dlib-dev-19.24.4-r0.apk
2024-10-25 20:46
2.4M
ipp-usb-0.9.30-r5.apk
2025-12-04 22:24
2.4M
aprilsh-server-0.7.12-r10.apk
2025-12-04 22:22
2.4M
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 20:54
2.4M
goreman-0.3.15-r18.apk
2025-12-04 22:23
2.4M
imapgoose-0.4.1-r1.apk
2025-12-04 22:24
2.4M
secsipidx-libs-1.3.2-r17.apk
2025-12-04 22:25
2.4M
monetdb-11.33.11-r4.apk
2024-10-25 20:51
2.4M
gnome-mahjongg-49.0.1-r0.apk
2025-10-18 21:06
2.4M
avahi2dns-0.1.0-r6.apk
2025-12-04 22:22
2.4M
ircdog-0.5.4-r10.apk
2025-12-04 22:24
2.4M
guetzli-dev-0_git20191025-r2.apk
2025-05-25 07:56
2.4M
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 20:49
2.4M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 20:47
2.3M
libcpdf-2.8.1-r0.apk
2025-05-08 12:40
2.3M
alacritty-graphics-0.16.1-r0.apk
2025-12-18 00:03
2.3M
sqruff-0.25.26-r0.apk
2025-04-13 20:33
2.3M
musikcube-3.0.5-r0.apk
2025-10-03 08:44
2.3M
authenticator-rs-0.8.6-r0.apk
2025-09-01 18:31
2.3M
ssh-get-id-0.2.0-r0.apk
2025-12-15 03:11
2.3M
rss-email-0.5.1-r0.apk
2025-08-10 11:21
2.3M
simgear-2024.1.1-r0.apk
2025-03-05 00:21
2.3M
wiki-tui-0.9.1-r0.apk
2025-11-04 15:23
2.2M
protoc-gen-go-1.36.10-r1.apk
2025-12-04 22:24
2.2M
darkradiant-doc-3.9.0-r0.apk
2025-10-03 08:43
2.2M
certstrap-1.3.0-r29.apk
2025-12-04 22:22
2.2M
trippy-0.13.0-r0.apk
2025-05-18 23:21
2.2M
font-monaspace-argon-1.101-r0.apk
2024-10-25 20:47
2.2M
bitritter-0.1.1-r0.apk
2024-10-25 20:45
2.2M
libretro-ppsspp-0_git20210516-r15.apk
2025-04-10 20:33
2.2M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 20:54
2.2M
commit-lsp-0.1.0-r0.apk
2025-05-08 12:40
2.2M
lomiri-location-service-3.3.0-r5.apk
2025-11-17 13:20
2.2M
protoc-gen-go-grpc-1.77.0-r0.apk
2025-12-22 15:15
2.2M
satellite-1.0.0-r33.apk
2025-12-04 22:25
2.2M
hashcat-doc-6.2.6-r0.apk
2024-10-25 20:48
2.1M
bootloose-0.7.1-r16.apk
2025-12-04 22:22
2.1M
cpdf-2.8.1-r0.apk
2025-05-08 12:40
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 20:47
2.1M
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 20:53
2.1M
sssd-2.11.1-r2.apk
2025-11-20 08:42
2.1M
teapot-tools-0.4.2-r2.apk
2024-10-25 20:57
2.1M
ergo-ldap-0.0.1-r22.apk
2025-12-04 22:23
2.1M
so-0.4.10-r0.apk
2024-10-25 20:56
2.1M
ovn-25.09.2-r0.apk
2025-12-14 22:06
2.1M
xed-lang-3.8.4-r0.apk
2025-10-23 07:55
2.1M
raku-inline-perl5-0.60_git20250327-r1.apk
2025-08-29 08:25
2.1M
decoder-0.7.0-r0.apk
2025-04-10 13:25
2.1M
lld-next-libs-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
2.1M
libigraph-1.0.0-r0.apk
2025-10-28 02:22
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 20:47
2.1M
empede-0.2.3-r0.apk
2024-10-25 20:46
2.1M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:09
2.1M
homebank-5.9.5-r0.apk
2025-10-14 14:57
2.0M
tui-journal-0.10.0-r0.apk
2024-10-25 20:58
2.0M
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 15:55
2.0M
virtualgl-3.1.4-r0.apk
2025-10-09 00:04
2.0M
font-stix-otf-2.13-r0.apk
2024-10-25 20:47
2.0M
sydbox-syd-3.45.2-r0.apk
2025-12-03 02:59
2.0M
9base-6-r2.apk
2024-10-25 20:45
2.0M
stgit-2.4.7-r1.apk
2024-10-25 20:56
2.0M
mesonlsp-4.3.7-r4.apk
2025-06-19 05:36
2.0M
emmylua-check-0.17.0-r0.apk
2025-12-22 00:15
2.0M
php82-fpm-8.2.30-r1.apk
2025-12-17 20:31
2.0M
hitide-0.15.0-r0.apk
2024-10-25 20:48
2.0M
php82-phpdbg-8.2.30-r1.apk
2025-12-17 20:31
2.0M
runst-0.2.0-r0.apk
2025-11-15 00:54
2.0M
hfst-libs-3.16.2-r0.apk
2025-03-28 16:14
2.0M
mint-y-theme-gtk3-2.3.2-r0.apk
2025-11-14 22:59
2.0M
jackdaw-0.3.1-r2.apk
2025-05-29 12:09
2.0M
primecount-dev-7.20-r0.apk
2025-11-25 19:14
2.0M
charta-0.8.2-r1.apk
2025-12-04 22:22
2.0M
edward-1.1.0-r0.apk
2024-10-25 20:46
2.0M
grcov-0.8.20-r0.apk
2024-11-11 10:10
2.0M
openfpgaloader-0.11.0-r0.apk
2024-10-25 20:52
2.0M
php81-fpm-8.1.34-r0.apk
2025-12-17 02:37
2.0M
php81-phpdbg-8.1.34-r0.apk
2025-12-17 02:37
2.0M
php82-8.2.30-r1.apk
2025-12-17 20:31
1.9M
openocd-esp32-0_git20250707-r2.apk
2025-10-20 19:48
1.9M
xmoto-0.6.3-r0.apk
2025-10-03 17:03
1.9M
gmsh-doc-4.15.0-r0.apk
2025-11-04 15:23
1.9M
pwvucontrol-dbg-0.5.0-r0.apk
2025-10-20 19:58
1.9M
php82-litespeed-8.2.30-r1.apk
2025-12-17 20:31
1.9M
android-apkeep-0.17.0-r0.apk
2024-10-25 20:45
1.9M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 20:54
1.9M
tachyon-scenes-0.99_beta6-r2.apk
2025-12-10 19:01
1.9M
spotify-tui-0.25.0-r2.apk
2024-10-25 20:56
1.9M
php82-cgi-8.2.30-r1.apk
2025-12-17 20:31
1.9M
glslviewer-3.2.4-r2.apk
2025-08-28 17:50
1.9M
lutgen-1.0.1-r0.apk
2025-11-20 07:59
1.9M
php82-apache2-8.2.30-r1.apk
2025-12-17 20:31
1.9M
php82-embed-8.2.30-r1.apk
2025-12-17 20:31
1.9M
php81-8.1.34-r0.apk
2025-12-17 02:37
1.9M
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 20:58
1.9M
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 15:22
1.9M
bacon-3.18.0-r0.apk
2025-10-03 08:43
1.9M
cocogitto-6.5.0-r0.apk
2025-11-04 15:22
1.9M
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 20:54
1.9M
php81-litespeed-8.1.34-r0.apk
2025-12-17 02:37
1.9M
goxel-0.15.1-r0.apk
2024-10-25 20:48
1.9M
crispy-doom-7.1-r0.apk
2025-10-03 08:43
1.9M
php81-cgi-8.1.34-r0.apk
2025-12-17 02:37
1.9M
ovn-dev-25.09.2-r0.apk
2025-12-14 22:06
1.9M
php81-apache2-8.1.34-r0.apk
2025-12-17 02:37
1.9M
srb2-2.2.15-r2.apk
2025-12-21 03:53
1.9M
libntl-static-11.6.0-r0.apk
2025-11-08 07:16
1.9M
php81-embed-8.1.34-r0.apk
2025-12-17 02:37
1.9M
magpie-0.9.4-r0.apk
2025-10-16 17:15
1.9M
numbat-1.16.0-r0.apk
2025-08-19 13:23
1.8M
gyosu-0.2.0-r3.apk
2025-12-04 22:23
1.8M
mint-themes-2.3.2-r0.apk
2025-11-14 22:58
1.8M
yubikey-agent-0.1.6-r17.apk
2025-12-04 22:25
1.8M
daktilo-0.6.0-r0.apk
2024-10-25 20:46
1.8M
xmpp-dns-0.2.4-r29.apk
2025-12-04 22:25
1.8M
gmic-qt-3.6.0-r1.apk
2025-10-25 09:01
1.8M
tintin-2.02.51-r0.apk
2025-11-24 12:42
1.8M
sydbox-test-3.45.2-r0.apk
2025-12-03 02:59
1.8M
fheroes2-lang-1.1.13-r0.apk
2025-12-22 16:42
1.8M
efl-dev-1.28.1-r2.apk
2025-03-23 15:04
1.8M
quodlibet-pyc-4.7.1-r0.apk
2025-10-03 08:45
1.8M
ffmpeg4-libavfilter-4.4.5-r4.apk
2025-10-19 09:50
1.8M
gfan-0.6.2-r1.apk
2024-10-25 20:47
1.8M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 20:54
1.8M
fheroes2-1.1.13-r0.apk
2025-12-22 16:42
1.8M
sympow-2.023.7-r2.apk
2024-10-25 20:57
1.8M
antibody-6.1.1-r33.apk
2025-12-04 22:22
1.8M
percona-toolkit-3.5.4-r1.apk
2024-10-25 20:53
1.8M
neocmakelsp-0.9.0-r0.apk
2025-11-22 13:31
1.8M
llvm-next-libc++-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.8M
watershot-0.2.0-r0.apk
2024-10-25 20:58
1.8M
py3-tokenizers-0.21.2-r0.apk
2025-06-29 22:43
1.7M
flann-1.9.2-r1.apk
2025-02-18 22:21
1.7M
stam-0.12.2-r0.apk
2025-10-03 08:45
1.7M
ouch-0.6.1-r0.apk
2025-05-28 07:40
1.7M
nwg-dock-0.4.3-r5.apk
2025-12-04 22:24
1.7M
mkcert-1.4.4-r24.apk
2025-12-04 22:24
1.7M
66-dev-0.8.2.1-r0.apk
2025-06-05 23:37
1.7M
apmpkg-1.5.1-r3.apk
2024-10-25 20:45
1.7M
ocaml-omake-0.10.6-r0.apk
2024-10-25 20:51
1.7M
chocolate-doom-3.1.1-r0.apk
2025-08-19 01:31
1.7M
fplll-strategies-5.5.0-r0.apk
2024-11-17 04:09
1.7M
openocd-git-0_git20251018-r1.apk
2025-10-20 19:48
1.7M
catppuccin-whiskers-2.5.1-r0.apk
2025-11-29 19:00
1.7M
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 20:49
1.7M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 20:51
1.7M
nwg-menu-0.1.9-r4.apk
2025-12-04 22:24
1.7M
mint-y-theme-gtk4-2.3.2-r0.apk
2025-11-14 22:59
1.7M
libsemigroups-static-2.7.3-r1.apk
2024-12-14 21:36
1.7M
brial-dev-1.2.11-r4.apk
2024-10-25 20:45
1.7M
igrep-1.2.0-r0.apk
2024-10-25 20:48
1.7M
pomo-0.8.1-r28.apk
2025-12-04 22:24
1.6M
aqemu-0.9.4-r3.apk
2024-10-25 20:45
1.6M
yamldiff-0.3.0-r3.apk
2025-12-04 22:25
1.6M
wl-gammarelay-0.1.3-r4.apk
2025-12-04 22:25
1.6M
freshrss-1.27.1-r0.apk
2025-11-04 15:22
1.6M
boinc-7.24.3-r0.apk
2024-10-25 20:45
1.6M
megazeux-2.93d-r0.apk
2025-06-10 13:47
1.6M
py3-pyglet-pyc-2.1.5-r1.apk
2025-08-27 17:13
1.6M
dcmtk-dev-3.6.9-r0.apk
2025-01-19 16:48
1.6M
antimicrox-3.5.1-r0.apk
2025-06-13 13:54
1.6M
ktx-libs-4.3.2-r1.apk
2025-06-10 02:50
1.6M
keystone-python-0.9.2-r6.apk
2024-10-25 20:49
1.6M
drogon-1.9.4-r2.apk
2025-05-22 06:59
1.6M
seed7-doc-05.20240322-r0.apk
2024-10-25 20:56
1.6M
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 20:45
1.6M
ffsend-0.2.76-r4.apk
2024-10-25 20:47
1.6M
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 20:56
1.6M
libabigail-dev-2.8-r0.apk
2025-11-04 15:23
1.6M
vertigo-0.3.4-r1.apk
2025-12-04 22:25
1.6M
nwg-bar-0.1.6-r15.apk
2025-12-04 22:24
1.6M
rustscan-2.4.1-r0.apk
2025-12-22 02:38
1.6M
amule-lang-2.3.3-r13.apk
2024-10-25 20:45
1.6M
voikko-fi-2.5-r0.apk
2024-10-25 20:58
1.6M
nicotine-plus-3.3.10-r0.apk
2025-05-04 02:50
1.6M
kjv-0_git20221103-r0.apk
2024-10-25 20:49
1.5M
mage-1.13.0-r23.apk
2025-05-14 17:29
1.5M
spike-1.1.0-r0.apk
2024-10-25 20:56
1.5M
oil-0.21.0-r0.apk
2024-10-25 20:52
1.5M
nextpnr-gowin-0.7-r0.apk
2024-10-25 20:51
1.5M
dstask-0.27-r4.apk
2025-12-04 22:23
1.5M
gimp-plugin-gmic-3.6.0-r1.apk
2025-10-25 09:01
1.5M
perl-minion-11.0-r0.apk
2025-08-27 09:26
1.5M
freshrss-themes-1.27.1-r0.apk
2025-11-04 15:22
1.5M
elementary-theme-8.1.0-r0.apk
2025-01-12 20:37
1.5M
openocd-riscv-0_git20230104-r2.apk
2024-10-25 20:52
1.5M
draco-static-1.5.7-r2.apk
2025-02-18 22:21
1.5M
xsane-0.999-r2.apk
2024-10-25 20:58
1.5M
reaction-2.2.1-r0.apk
2025-10-03 08:45
1.5M
cadence-0.9.2-r1.apk
2025-10-09 10:54
1.5M
hfst-3.16.2-r0.apk
2025-03-28 16:14
1.5M
legume-1.4.2-r14.apk
2025-12-04 22:24
1.5M
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-28 23:54
1.5M
font-andika-six-6.210-r0.apk
2025-10-03 08:43
1.5M
beancount-language-server-1.4.1-r0.apk
2025-08-03 10:42
1.5M
godini-1.0.0-r5.apk
2025-12-04 22:23
1.5M
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-16 17:24
1.5M
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 14:19
1.5M
llvm-next-linker-tools-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.4M
rathole-0.5.0-r0.apk
2024-10-25 20:54
1.4M
chess-tui-2.0.0-r0.apk
2025-12-13 01:11
1.4M
mame-lang-0.251-r0.apk
2024-10-25 20:50
1.4M
ndpi-4.10-r0.apk
2024-10-25 20:51
1.4M
quodlibet-lang-4.7.1-r0.apk
2025-10-03 08:45
1.4M
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-12 22:42
1.4M
ijq-1.2.0-r2.apk
2025-12-04 22:24
1.4M
ffmpeg4-libavformat-4.4.5-r4.apk
2025-10-19 09:50
1.4M
budgie-desktop-10.9.2-r0.apk
2025-10-16 17:15
1.4M
chimerautils-15.0.2-r0.apk
2025-12-08 17:20
1.4M
nwg-look-1.0.6-r1.apk
2025-12-04 22:24
1.4M
parpar-0.4.5-r0.apk
2025-10-12 21:03
1.4M
ckb-next-0.6.2-r1.apk
2025-09-06 17:16
1.4M
genact-1.4.2-r0.apk
2024-10-25 20:47
1.4M
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 15:17
1.4M
pcl-libs-1.14.1-r0.apk
2025-02-18 22:22
1.4M
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 20:52
1.4M
lomiri-content-hub-doc-2.2.1-r0.apk
2025-12-09 11:12
1.4M
faust-dev-2.79.3-r0.apk
2025-06-07 18:47
1.4M
mapserver-8.4.1-r1.apk
2025-12-04 22:24
1.4M
ktx-4.3.2-r1.apk
2025-06-10 02:50
1.4M
font-openmoji-16.0.0-r0.apk
2025-08-11 06:32
1.4M
primesieve-dev-12.10-r0.apk
2025-11-25 19:14
1.4M
lout-3.42.2-r0.apk
2024-10-25 20:49
1.4M
keystone-0.9.2-r6.apk
2024-10-25 20:49
1.4M
hctl-0.2.7-r0.apk
2025-05-14 17:28
1.4M
singular-doc-4.4.1_p2-r1.apk
2025-11-26 08:44
1.3M
sblg-doc-0.5.11-r0.apk
2024-10-25 20:56
1.3M
ocaml-camomile-1.0.2-r3.apk
2024-10-25 20:51
1.3M
httplz-2.2.0-r0.apk
2025-05-18 15:39
1.3M
lomiri-ui-toolkit-1.3.5110-r5.apk
2025-12-18 14:23
1.3M
mobroute-doc-0.10.0-r5.apk
2025-12-04 22:24
1.3M
cargo-machete-0.9.1-r0.apk
2025-08-17 18:49
1.3M
yaru-icon-theme-mate-25.10.1-r0.apk
2025-07-13 19:49
1.3M
snippets-ls-0.0.4_git20240617-r10.apk
2025-12-04 22:25
1.3M
makeclapman-2.4.4-r10.apk
2025-12-04 22:24
1.3M
dcmtk-3.6.9-r0.apk
2025-01-19 16:48
1.3M
eclib-libs-20250627-r2.apk
2025-11-26 08:43
1.3M
emulationstation-2.11.2-r1.apk
2024-10-25 20:46
1.3M
onionshare-desktop-2.6.3-r1.apk
2025-11-14 22:59
1.3M
mir-test-tools-2.25.2-r0.apk
2025-12-18 14:23
1.3M
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 13:16
1.3M
kbs2-0.7.3-r0.apk
2025-07-30 07:29
1.3M
libntl-11.6.0-r0.apk
2025-11-08 07:16
1.3M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
sc-controller-0.5.5-r0.apk
2025-12-14 08:56
1.3M
flawz-0.3.0-r0.apk
2024-11-03 21:10
1.3M
prjtrellis-1.4-r2.apk
2024-10-25 20:53
1.3M
baikal-0.10.1-r1.apk
2025-05-27 23:25
1.3M
volatility3-pyc-2.26.2-r0.apk
2025-11-20 13:07
1.2M
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 10:27
1.2M
draco-tools-1.5.7-r2.apk
2025-02-18 22:21
1.2M
octoprint-pyc-1.10.3-r0.apk
2024-12-25 22:04
1.2M
pegasus-frontend-16_alpha-r0.apk
2024-10-25 20:53
1.2M
cartero-0.2.2-r0.apk
2025-10-12 23:56
1.2M
flamelens-0.3.1-r0.apk
2025-04-29 08:21
1.2M
qt6-qtgraphs-6.10.1-r0.apk
2025-12-10 17:45
1.2M
repgrep-0.15.0-r0.apk
2024-10-25 20:54
1.2M
limnoria-pyc-20240828-r0.apk
2024-10-25 20:49
1.2M
captive-browser-0_git20210801-r2.apk
2025-12-04 22:22
1.2M
duf-0.9.1-r2.apk
2025-12-04 22:23
1.2M
kismet-logtools-0.202509.1-r0.apk
2025-10-09 04:09
1.2M
tailspin-5.5.0-r0.apk
2025-10-28 06:58
1.2M
cargo-update-18.0.0-r0.apk
2025-10-28 07:26
1.2M
orage-lang-4.20.1-r0.apk
2025-04-08 11:54
1.2M
compiz-lang-0.9.14.2-r13.apk
2025-11-29 00:01
1.2M
yaru-sounds-25.10.1-r0.apk
2025-07-13 19:49
1.2M
up-0.4-r34.apk
2025-12-04 22:25
1.2M
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:43
1.2M
yaru-icon-theme-red-25.10.1-r0.apk
2025-07-13 19:49
1.2M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 20:47
1.2M
yaru-icon-theme-purple-25.10.1-r0.apk
2025-07-13 19:49
1.2M
cln-dev-1.3.7-r1.apk
2025-05-25 07:56
1.2M
elementary-photos-8.0.1-r0.apk
2024-12-02 23:04
1.2M
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 08:52
1.2M
bzmenu-0.2.1-r3.apk
2025-08-09 12:15
1.2M
mdbook-katex-0.9.4-r0.apk
2025-05-17 21:53
1.2M
ocfs2-tools-1.8.7-r4.apk
2024-10-25 20:52
1.2M
yaru-icon-theme-sage-25.10.1-r0.apk
2025-07-13 19:49
1.2M
ginac-1.8.9-r0.apk
2025-05-25 07:56
1.2M
dewduct-0.2.3-r0.apk
2024-10-25 20:46
1.2M
baresip-4.1.0-r0.apk
2025-10-03 08:43
1.2M
yaru-icon-theme-blue-25.10.1-r0.apk
2025-07-13 19:49
1.2M
go-mtpfs-1.0.0-r32.apk
2025-12-04 22:23
1.2M
sqlmap-pyc-1.9.12-r0.apk
2025-12-12 20:05
1.2M
ecasound-dev-2.9.3-r4.apk
2025-02-24 21:25
1.2M
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 19:49
1.2M
speedcrunch-0.12-r3.apk
2024-10-25 20:56
1.2M
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-04 15:23
1.2M
yaru-icon-theme-viridian-25.10.1-r0.apk
2025-07-13 19:49
1.2M
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 20:54
1.2M
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 20:52
1.2M
laze-0.1.38-r0.apk
2025-07-20 20:27
1.2M
yaru-icon-theme-magenta-25.10.1-r0.apk
2025-07-13 19:49
1.1M
ocaml-obuild-0.1.11-r0.apk
2024-10-25 20:51
1.1M
yaru-icon-theme-olive-25.10.1-r0.apk
2025-07-13 19:49
1.1M
watchbind-0.2.1-r1.apk
2024-10-25 20:58
1.1M
crun-vm-0.3.0-r0.apk
2024-11-12 11:50
1.1M
pest-language-server-0.3.9-r0.apk
2024-10-25 20:53
1.1M
yaru-icon-theme-bark-25.10.1-r0.apk
2025-07-13 19:49
1.1M
lutris-pyc-0.5.19-r1.apk
2025-10-03 08:44
1.1M
circuslinux-data-1.0.3-r1.apk
2024-10-25 20:46
1.1M
lv_font_conv-1.5.3-r0.apk
2025-08-19 19:54
1.1M
swhkd-1.2.1-r0.apk
2024-10-25 20:57
1.1M
synapse-bt-1.0-r4.apk
2024-10-25 20:57
1.1M
qflipper-gui-1.3.3-r1.apk
2024-10-25 20:54
1.1M
xed-3.8.4-r0.apk
2025-10-23 07:55
1.1M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 20:54
1.1M
emacs-company-wubi-0_git20161031-r0.apk
2025-12-12 10:08
1.1M
leptosfmt-0.1.33-r0.apk
2025-03-25 15:55
1.1M
cutechess-1.3.1-r0.apk
2024-10-25 20:46
1.1M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 20:47
1.1M
limnoria-20240828-r0.apk
2024-10-25 20:49
1.1M
lizardfs-client-3.13.0-r17.apk
2025-06-19 08:53
1.1M
lomiri-system-settings-1.3.2-r0.apk
2025-05-14 17:29
1.1M
fava-1.28-r0.apk
2024-10-25 20:47
1.1M
libmysofa-tools-1.3.2-r0.apk
2024-10-25 20:49
1.1M
tartube-pyc-2.5.0-r2.apk
2025-12-09 12:32
1.1M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 20:53
1.1M
mdbook-admonish-1.20.0-r0.apk
2025-06-16 22:23
1.1M
binwalk-3.1.0-r0.apk
2025-02-07 15:51
1.1M
flang-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.1M
snapper-0.13.0-r0.apk
2025-10-03 08:45
1.1M
supersonik-0.1.0-r3.apk
2025-12-04 22:25
1.1M
openterface-qt-0.5.7-r0.apk
2025-12-22 05:33
1.0M
please-0.5.6-r0.apk
2025-08-29 08:36
1.0M
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:09
1.0M
brial-1.2.11-r4.apk
2024-10-25 20:45
1.0M
gearman-dev-1.1.22-r0.apk
2025-09-06 17:17
1.0M
quodlibet-4.7.1-r0.apk
2025-10-03 08:45
1.0M
tere-1.6.0-r0.apk
2024-10-25 20:57
1.0M
boinc-gui-7.24.3-r0.apk
2024-10-25 20:45
1.0M
synapse-bt-cli-1.0-r4.apk
2024-10-25 20:57
1.0M
comrak-0.49.0-r0.apk
2025-12-14 14:23
1.0M
ol-2.6-r0.apk
2025-05-04 02:50
1.0M
macchina-6.4.0-r0.apk
2025-06-25 03:45
1.0M
xonsh-pyc-0.19.9-r0.apk
2025-11-04 15:23
1.0M
volatility3-2.26.2-r0.apk
2025-11-20 13:07
1.0M
py3-beartype-0.22.9-r0.apk
2025-12-15 18:34
1.0M
lspmux-0.3.0-r0.apk
2025-11-05 15:07
1.0M
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.0M
hwatch-0.3.11-r0.apk
2024-10-25 20:48
1.0M
timeshift-lang-25.12.1-r0.apk
2025-12-12 19:28
1.0M
yarn-berry-4.9.1-r0.apk
2025-04-15 21:59
1.0M
qsstv-9.5.8-r2.apk
2024-10-25 20:54
1.0M
libabigail-2.8-r0.apk
2025-11-04 15:23
1.0M
qpdfview-0.5-r2.apk
2025-01-29 19:22
1.0M
elementary-photos-lang-8.0.1-r0.apk
2024-12-02 23:04
1.0M
xwayland-satellite-0.7-r0.apk
2025-08-30 22:13
1.0M
pulseview-0.4.2-r8.apk
2024-10-25 20:53
1.0M
imgdiff-1.0.2-r31.apk
2025-12-04 22:24
1.0M
lomiri-telephony-service-0.6.2-r1.apk
2025-12-19 11:41
1.0M
milkytracker-1.04.00-r2.apk
2024-10-25 20:50
1.0M
flann-dev-1.9.2-r1.apk
2025-02-18 22:21
1.0M
clang-next-headers-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.0M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 20:53
1.0M
yices2-libs-2.6.5-r0.apk
2025-03-02 23:06
1.0M
sshsrv-1.0-r17.apk
2025-12-04 22:25
1.0M
draw-0.1.1-r18.apk
2025-12-04 22:23
1.0M
cliphist-0.7.0-r1.apk
2025-12-04 22:22
1.0M
libretro-fuse-0_git20220417-r0.apk
2024-10-25 20:49
1.0M
font-comic-neue-doc-2.51-r0.apk
2024-10-25 20:47
1.0M
hunspell-fr-7.7-r0.apk
2025-12-17 20:32
1.0M
lomiri-trust-store-2.0.2-r14.apk
2025-12-18 14:23
1.0M
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 20:46
1.0M
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:08
1.0M
barrier-2.4.0-r2.apk
2025-02-18 22:21
1.0M
wl-clip-persist-0.5.0-r0.apk
2025-10-26 12:31
1.0M
xed-doc-3.8.4-r0.apk
2025-10-23 07:55
971K
php82-dev-8.2.30-r1.apk
2025-12-17 20:31
968K
xendmail-0.4.4-r0.apk
2025-08-06 08:57
960K
py-spy-0.3.14-r3.apk
2024-10-25 20:53
958K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 20:50
958K
halp-0.2.0-r0.apk
2024-10-25 20:48
957K
php82-pecl-swoole-6.1.5-r0.apk
2025-12-21 22:24
955K
php81-pecl-swoole-6.1.5-r0.apk
2025-12-21 22:47
954K
gloox-dev-1.0.28-r0.apk
2024-10-25 20:47
950K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 12:09
947K
plib-1.8.5-r3.apk
2024-10-25 20:53
945K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 20:51
944K
homebank-lang-5.9.5-r0.apk
2025-10-14 14:57
942K
net-predictable-1.5.1-r5.apk
2025-12-04 22:24
941K
php81-dev-8.1.34-r0.apk
2025-12-17 02:37
939K
git-graph-0.6.0-r0.apk
2024-11-25 23:42
937K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 20:53
936K
advancemame-menu-3.9-r4.apk
2024-10-25 20:45
936K
ndpi-dev-4.10-r0.apk
2024-10-25 20:51
935K
azpainter-3.0.11-r0.apk
2025-02-22 11:10
935K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 20:54
934K
rust-script-0.36.0-r0.apk
2025-09-06 17:18
932K
mml-1.0.0-r1.apk
2025-10-03 08:44
930K
bochs-2.8-r1.apk
2025-02-20 18:30
927K
mkdotenv-0.4.9-r2.apk
2025-12-04 22:24
927K
rezolus-2.11.1-r3.apk
2024-10-25 20:54
925K
hstdb-2.1.0-r2.apk
2024-10-25 20:48
924K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:09
922K
serie-0.5.5-r0.apk
2025-12-10 01:54
919K
silc-client-1.1.11-r18.apk
2025-06-30 09:24
918K
repowerd-2023.07-r3.apk
2025-02-18 22:22
914K
eiwd-3.10-r0.apk
2025-10-07 16:34
913K
hyfetch-2.0.5-r0.apk
2025-11-21 17:34
911K
buildcache-0.28.9-r0.apk
2024-10-25 20:45
898K
cargo-show-asm-0.2.53-r0.apk
2025-10-30 00:23
893K
py3-pyglet-2.1.5-r1.apk
2025-08-27 17:13
890K
build-next-bfd-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
889K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:55
887K
fulcrum-1.9.8-r1.apk
2024-10-25 20:47
887K
py3-apsw-3.51.1.0-r0.apk
2025-11-30 18:51
884K
perl-gtk2-1.24993-r7.apk
2025-06-30 09:24
884K
jwt-cli-6.2.0-r0.apk
2024-12-14 21:36
882K
boinc-lang-7.24.3-r0.apk
2024-10-25 20:45
877K
wiremix-0.7.0-r0.apk
2025-08-22 12:02
876K
libretro-theodore-3.1-r0.apk
2024-10-25 20:49
874K
smile-2.11.0-r0.apk
2025-12-21 11:40
873K
pyradio-0.9.3.11-r0.apk
2024-10-25 20:54
871K
tealdeer-1.8.0-r0.apk
2025-10-28 02:22
865K
cddlib-doc-0.94m-r2.apk
2024-10-25 20:45
863K
rdedup-3.2.1-r5.apk
2024-10-25 20:54
861K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:41
858K
qtile-pyc-0.33.0-r0.apk
2025-10-03 08:45
858K
draco-1.5.7-r2.apk
2025-02-18 22:21
855K
gufw-lang-24.04-r3.apk
2024-11-19 22:14
854K
weggli-0.2.4-r1.apk
2024-10-25 20:58
853K
font-katex-0.16.2-r0.apk
2024-10-25 20:47
852K
libetebase-0.5.8-r0.apk
2025-10-03 08:44
851K
magpie-lang-0.9.4-r0.apk
2025-10-16 17:15
849K
freshrss-doc-1.27.1-r0.apk
2025-11-04 15:22
846K
lomiri-system-settings-lang-1.3.2-r0.apk
2025-05-14 17:29
846K
visidata-pyc-3.3-r0.apk
2025-10-03 08:45
846K
lizardfs-master-3.13.0-r17.apk
2025-06-19 08:53
846K
maxima-doc-5.48.1-r9.apk
2025-08-22 10:31
845K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 21:16
841K
yaru-theme-25.10.1-r0.apk
2025-07-13 19:49
840K
font-fira-code-6.2-r0.apk
2024-10-25 20:47
836K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 20:45
834K
cbqn-0.10.0-r0.apk
2025-11-30 12:22
833K
dune-deps-1.3.0-r2.apk
2024-10-25 20:46
833K
build-next-gas-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
832K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-24 02:16
831K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-08 22:26
831K
jaq-2.3.0-r0.apk
2025-07-20 10:41
830K
geonames-0.3.1-r2.apk
2024-10-25 20:47
827K
cimg-3.4.1-r0.apk
2024-10-25 20:46
826K
libmdbx-0.11.8-r0.apk
2024-10-25 20:49
826K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 20:49
825K
planner-lang-0.14.92-r1.apk
2024-12-08 21:45
824K
twiggy-0.6.0-r3.apk
2024-10-25 20:58
824K
lutris-0.5.19-r1.apk
2025-10-03 08:44
819K
gnucobol-3.2-r0.apk
2025-07-28 14:02
817K
xvile-9.8z_p1-r2.apk
2025-10-06 11:33
817K
rygel-45.0-r1.apk
2025-11-18 18:34
816K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 20:46
815K
sc-controller-pyc-0.5.5-r0.apk
2025-12-14 08:56
814K
lutris-lang-0.5.19-r1.apk
2025-10-03 08:44
810K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 20:54
810K
redhat-fonts-4.1.0-r1.apk
2025-08-09 12:15
809K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 12:09
807K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 18:04
807K
simavr-dev-1.7-r1.apk
2024-10-25 20:56
807K
py3-python-stdnum-1.20-r0.apk
2024-10-25 20:54
805K
e16-1.0.30-r0.apk
2024-11-05 13:22
804K
rot8-1.0.0-r0.apk
2025-07-28 22:09
803K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-20 07:59
802K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 20:53
800K
lldb-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
800K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-24 02:16
800K
komikku-pyc-1.85.0-r0.apk
2025-08-22 08:07
797K
nextpnr-generic-0.7-r0.apk
2024-10-25 20:51
795K
otrs-doc-6.0.48-r2.apk
2024-10-25 20:53
795K
font-chivo-0_git20221110-r0.apk
2024-10-25 20:47
792K
vile-9.8z_p1-r2.apk
2025-10-06 11:33
791K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 20:51
791K
dlib-19.24.4-r0.apk
2024-10-25 20:46
790K
py3-trimesh-pyc-4.10.0-r0.apk
2025-11-25 05:23
789K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-04 02:50
789K
sshs-4.7.2-r0.apk
2025-04-23 22:31
787K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 20:58
787K
apache2-mod-perl-2.0.13-r2.apk
2025-06-30 09:24
785K
llvm-next-test-utils-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
784K
exabgp-pyc-4.2.24-r1.apk
2025-09-06 17:16
778K
dnssec-tools-2.2.3-r13.apk
2025-06-30 09:24
772K
yaru-theme-mate-25.10.1-r0.apk
2025-07-13 19:49
772K
featherpad-1.6.2-r0.apk
2025-11-28 19:38
771K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 20:49
767K
py3-livestream-2.1.0-r0.apk
2024-11-25 22:30
766K
yaru-theme-blue-25.10.1-r0.apk
2025-07-13 19:49
766K
libsemigroups-2.7.3-r1.apk
2024-12-14 21:36
764K
yaru-theme-sage-25.10.1-r0.apk
2025-07-13 19:49
763K
yaru-theme-bark-25.10.1-r0.apk
2025-07-13 19:49
763K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 20:52
763K
yaru-theme-magenta-25.10.1-r0.apk
2025-07-13 19:49
762K
httrack-3.49.2-r5.apk
2024-10-25 20:48
760K
yaru-theme-viridian-25.10.1-r0.apk
2025-07-13 19:49
760K
yaru-theme-red-25.10.1-r0.apk
2025-07-13 19:49
760K
yaru-theme-prussiangreen-25.10.1-r0.apk
2025-07-13 19:49
759K
yaru-theme-olive-25.10.1-r0.apk
2025-07-13 19:49
759K
agate-3.3.19-r0.apk
2025-10-03 08:43
759K
rtw89-src-7_p20230725-r0.apk
2024-10-25 20:54
759K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-04 02:50
757K
yaru-theme-purple-25.10.1-r0.apk
2025-07-13 19:49
757K
transito-doc-0.10.0-r0.apk
2025-12-21 22:46
756K
udpt-3.1.2-r0.apk
2024-10-25 20:58
749K
mdbook-alerts-0.8.0-r0.apk
2025-10-03 08:44
748K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 20:53
747K
responder-3.1.7.0-r0.apk
2025-10-14 14:57
747K
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 20:51
746K
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 18:58
745K
castor-0.9.0-r2.apk
2024-10-25 20:45
745K
py3-beartype-pyc-0.22.9-r0.apk
2025-12-15 18:34
744K
66-dbg-0.8.2.1-r0.apk
2025-06-05 23:37
738K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 20:48
731K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 20:54
729K
pacparser-1.4.5-r1.apk
2024-10-25 20:53
729K
APKINDEX.tar.gz
2025-12-23 03:35
729K
kondo-0.8-r0.apk
2024-10-25 20:49
724K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 20:49
722K
tsung-1.8.0-r3.apk
2025-06-13 05:51
721K
pypykatz-pyc-0.6.11-r1.apk
2025-05-29 12:09
721K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:45
715K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 20:51
712K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 20:54
712K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 20:49
712K
felix-2.16.1-r0.apk
2025-05-16 11:14
711K
ecasound-2.9.3-r4.apk
2025-02-24 21:25
705K
py3-rns-pyc-1.0.0-r0.apk
2025-10-03 08:45
704K
rpi-imager-1.9.0-r1.apk
2025-07-24 08:09
703K
llvm-next-libc++-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
703K
ocaml5-llvm-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
701K
moosefs-static-4.56.6-r2.apk
2025-06-19 08:53
700K
pitivi-pyc-2023.03-r2.apk
2024-12-22 22:04
700K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 20:53
692K
lumins-0.4.0-r2.apk
2024-10-25 20:49
689K
py3-gdcm-3.2.2-r3.apk
2025-12-02 06:51
685K
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 17:31
683K
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 20:52
681K
perl-gtk2-doc-1.24993-r7.apk
2025-06-30 09:24
678K
pitivi-lang-2023.03-r2.apk
2024-12-22 22:04
678K
codec2-1.2.0-r1.apk
2025-11-22 18:51
677K
font-monocraft-4.0-r0.apk
2024-10-25 20:47
676K
py3-trimesh-4.10.0-r0.apk
2025-11-25 05:23
676K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 20:54
675K
firehol-doc-3.1.7-r2.apk
2024-10-25 20:47
674K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 20:53
673K
oils-for-unix-0.35.0-r0.apk
2025-10-03 08:44
672K
bonzomatic-20230615-r0.apk
2024-10-25 20:45
667K
openvpn3-dev-3.8.5-r1.apk
2025-02-18 22:22
667K
yaml-language-server-1.19.2-r0.apk
2025-10-08 22:27
666K
kew-3.6.4-r0.apk
2025-10-21 05:32
665K
meep-1.31.0-r1.apk
2025-08-19 13:15
661K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 13:37
660K
eva-0.3.1-r2.apk
2024-10-25 20:47
659K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 20:53
654K
projectm-dev-3.1.12-r2.apk
2024-10-25 20:53
652K
font-material-icons-4.0.0-r0.apk
2024-10-25 20:47
652K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 20:51
651K
nsh-0.4.2-r1.apk
2024-10-25 20:51
646K
boinc-dev-7.24.3-r0.apk
2024-10-25 20:45
646K
squeak-vm-4.10.2.2614-r2.apk
2025-10-09 12:30
644K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 20:51
644K
ttyper-1.6.0-r0.apk
2025-02-05 21:53
644K
php82-mbstring-8.2.30-r1.apk
2025-12-17 20:31
639K
rpg-cli-1.2.0-r0.apk
2024-10-25 20:54
639K
java-gdcm-3.2.2-r3.apk
2025-12-02 06:51
637K
gtranslator-doc-49.0-r0.apk
2025-10-03 08:43
637K
gtypist-2.10.1-r0.apk
2025-10-12 21:00
636K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 01:01
631K
paperde-0.3.0-r2.apk
2025-08-21 08:05
630K
moosefs-client-4.56.6-r2.apk
2025-06-19 08:53
629K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 20:47
626K
lomiri-download-manager-0.3.0-r0.apk
2025-12-09 11:12
625K
belle-sip-5.3.105-r0.apk
2025-02-25 12:54
624K
llvm-next-openmp-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
624K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 20:49
623K
turn-rs-3.4.0-r1.apk
2025-06-12 14:19
622K
nyuu-0.4.2-r0.apk
2025-10-12 21:05
615K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 20:51
614K
py3-textual-5.3.0-r0.apk
2025-10-27 08:52
612K
ettercap-0.8.3.1-r3.apk
2024-10-25 20:47
611K
marxan-4.0.7-r1.apk
2024-10-25 20:50
611K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 20:48
598K
mint-x-theme-gtk3-2.3.2-r0.apk
2025-11-14 22:58
597K
gufw-24.04-r3.apk
2024-11-19 22:14
596K
bore-0.5.2-r0.apk
2024-12-15 19:50
596K
uranium-5.2.2-r3.apk
2024-10-25 20:58
595K
mint-y-theme-gtk2-2.3.2-r0.apk
2025-11-14 22:59
595K
barman-pyc-3.16.2-r0.apk
2025-11-20 09:16
594K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:19
593K
gtranslator-lang-49.0-r0.apk
2025-10-03 08:43
593K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 20:49
592K
wl-screenrec-0.1.7-r1.apk
2025-08-27 17:13
590K
xonsh-0.19.9-r0.apk
2025-11-04 15:23
589K
py3-apsw-pyc-3.51.1.0-r0.apk
2025-11-30 18:51
586K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 17:15
586K
py3-aiosmb-0.4.14-r0.apk
2025-11-04 15:23
585K
opendht-libs-3.1.11-r0.apk
2025-01-27 20:57
584K
rygel-lang-45.0-r1.apk
2025-11-18 18:34
583K
petitboot-dbg-1.15-r0.apk
2025-11-15 00:54
582K
orage-4.20.1-r0.apk
2025-04-08 11:54
581K
perl-alien-libgumbo-0.05-r1.apk
2025-06-30 09:24
577K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 20:49
575K
php81-mbstring-8.1.34-r0.apk
2025-12-17 02:37
574K
java-asmtools-8.0.09-r0.apk
2024-10-25 20:48
574K
oppa-1.1.0-r0.apk
2025-10-12 13:22
572K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:36
571K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:10
568K
font-tiresias-0_git20200704-r0.apk
2024-10-25 20:47
568K
amber-0.4.0_alpha-r0.apk
2025-10-03 08:43
567K
perl-imager-1.028-r1.apk
2025-06-30 09:24
566K
faust-static-2.79.3-r0.apk
2025-06-07 18:47
563K
litehtml-static-0.9-r2.apk
2025-02-18 22:21
561K
quakespasm-0.96.3-r0.apk
2024-10-25 20:54
560K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 20:53
560K
cpdf-doc-2.8.1-r0.apk
2025-05-08 12:40
558K
py3-yosys-0.57-r0.apk
2025-10-24 22:33
556K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:19
556K
typstyle-0.12.14-r0.apk
2025-01-12 14:14
556K
i3bar-river-1.1.0-r1.apk
2025-10-29 22:52
555K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 12:28
550K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 20:54
550K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 12:28
550K
esptool-pyc-4.8.1-r0.apk
2024-10-25 20:47
549K
riemann-cli-0.8.0-r2.apk
2024-10-25 20:54
549K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
548K
meep-dev-1.31.0-r1.apk
2025-08-19 13:15
548K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 12:28
547K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:43
546K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 12:28
545K
py3-nikola-pyc-8.3.3-r0.apk
2025-10-11 10:48
545K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 12:28
545K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 12:28
544K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 12:28
542K
llvm-next-runtime-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
542K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 12:28
541K
qtmir-0.7.2_git20250407-r5.apk
2025-12-18 14:23
540K
log4cxx-1.1.0-r3.apk
2025-02-18 22:21
540K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
mapserver-dev-8.4.1-r1.apk
2025-12-04 22:24
540K
py3-meshtastic-2.7.2-r0.apk
2025-10-03 08:45
540K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 12:28
538K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 20:51
538K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 12:28
538K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
supermin-5.2.2-r2.apk
2024-10-25 20:57
536K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 12:28
534K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 12:28
533K
aspell-es-1.11-r0.apk
2024-10-25 20:45
533K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 12:28
532K
gradia-1.9.0-r0.apk
2025-09-06 17:17
532K
xmoto-lang-0.6.3-r0.apk
2025-10-03 17:03
532K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 12:28
531K
scooper-1.3-r1.apk
2024-10-25 20:56
531K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 12:28
530K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 12:28
530K
tuckr-0.12.0-r0.apk
2025-11-30 10:13
529K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 12:28
529K
kimchi-3.0.0-r8.apk
2025-02-10 20:43
529K
nitro-2.7_beta8-r2.apk
2024-10-25 20:51
528K
httrack-doc-3.49.2-r5.apk
2024-10-25 20:48
528K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 12:28
527K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 12:28
527K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 12:28
526K
ocaml-zed-3.1.0-r3.apk
2024-10-25 20:52
526K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
526K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:37
526K
pcl-1.14.1-r0.apk
2025-02-18 22:22
525K
qflipper-1.3.3-r1.apk
2024-10-25 20:54
525K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 12:28
525K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 12:28
524K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 12:28
523K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 13:37
521K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 12:28
520K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 12:28
520K
gr-satellites-5.5.0-r6.apk
2025-10-12 12:22
520K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 12:28
518K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 12:28
517K
ircd-hybrid-8.2.47-r0.apk
2025-04-05 09:56
516K
heh-0.6.2-r0.apk
2025-12-12 14:49
516K
plots-0.7.0-r1.apk
2024-11-06 09:43
515K
gstreamermm-1.10.0-r6.apk
2025-02-18 22:21
512K
cdist-7.0.0-r6.apk
2024-10-25 20:45
511K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 12:28
509K
libre-dev-4.1.0-r0.apk
2025-10-03 08:44
509K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:10
509K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:37
507K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 20:49
504K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 12:28
504K
py3-imageio-pyc-2.37.0-r0.apk
2025-12-09 12:32
503K
mint-x-theme-gtk4-2.3.2-r0.apk
2025-11-14 22:58
503K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 20:54
502K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 20:54
500K
svgbob-0.7.6-r0.apk
2025-02-01 19:56
499K
uucp-1.07-r6.apk
2024-10-25 20:58
499K
freshrss-lang-1.27.1-r0.apk
2025-11-04 15:22
499K
libsigrok-0.5.2-r3.apk
2024-10-25 20:49
498K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 20:49
498K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 20:54
497K
py3-telegram-bot-22.5-r0.apk
2025-11-20 07:59
497K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:45
497K
9base-troff-6-r2.apk
2024-10-25 20:45
495K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 20:49
494K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
492K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
489K
libantlr4-4.13.2-r0.apk
2024-12-12 11:08
487K
mapnik-dev-4.1.4-r0.apk
2025-12-04 22:24
487K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 12:28
486K
featherpad-lang-1.6.2-r0.apk
2025-11-28 19:38
485K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
485K
vcdimager-2.0.1-r5.apk
2025-01-22 10:34
484K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 12:28
484K
batmon-0.0.1-r0.apk
2024-10-25 20:45
483K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 12:28
483K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 20:45
482K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 20:54
482K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 12:28
482K
miracle-wm-0.8.2-r1.apk
2025-12-18 14:23
480K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 12:28
478K
kimchi-pyc-3.0.0-r8.apk
2025-02-10 20:43
476K
hyprlock-0.9.2-r0.apk
2025-12-14 11:02
474K
perl-html-object-doc-0.6.0-r0.apk
2025-10-16 16:26
473K
diskonaut-0.11.0-r3.apk
2024-10-25 20:46
473K
cln-1.3.7-r1.apk
2025-05-25 07:56
473K
lol-html-1.1.1-r1.apk
2024-10-25 20:49
472K
gnome-metronome-1.3.0-r0.apk
2024-10-25 20:47
472K
timeshift-25.12.1-r0.apk
2025-12-12 19:28
472K
motion-lang-4.7.1-r0.apk
2025-10-03 08:44
471K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 11:58
470K
pwvucontrol-0.5.0-r0.apk
2025-10-20 19:58
470K
qtile-0.33.0-r0.apk
2025-10-03 08:45
469K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 20:49
468K
neko-2.3.0-r0.apk
2024-11-20 22:27
468K
schismtracker-20251014-r0.apk
2025-10-24 23:51
465K
llvm-next-gtest-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
465K
megazeux-doc-2.93d-r0.apk
2025-06-10 13:47
465K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-12 12:21
462K
netdiscover-0.21-r0.apk
2025-08-15 12:51
459K
qstardict-2.0.2-r1.apk
2024-11-24 03:04
456K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-14 17:29
455K
projectm-3.1.12-r2.apk
2024-10-25 20:53
455K
mint-x-theme-gtk2-2.3.2-r0.apk
2025-11-14 22:58
452K
lout-doc-3.42.2-r0.apk
2024-10-25 20:49
452K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 12:28
451K
botan2-2.19.5-r0.apk
2025-05-28 21:38
450K
gdcm-dev-3.2.2-r3.apk
2025-12-02 06:51
450K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 12:28
449K
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 20:54
449K
libqofono-qt6-0.124-r0.apk
2025-01-10 12:05
449K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-14 17:30
448K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 12:28
448K
pypy-tkinter-7.3.19-r0.apk
2025-11-04 15:23
447K
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 12:28
446K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 20:53
446K
komikku-1.85.0-r0.apk
2025-08-22 08:07
444K
qsynth-1.0.3-r0.apk
2025-11-25 11:02
443K
terminalpp-0.8.4-r0.apk
2024-10-25 20:57
440K
xsane-lang-0.999-r2.apk
2024-10-25 20:58
440K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 20:57
440K
gede-2.22.1-r0.apk
2025-11-04 15:22
440K
birdtray-1.11.4-r0.apk
2025-12-12 17:04
439K
spice-html5-0.3.0-r1.apk
2024-10-25 20:56
438K
mkosi-pyc-26-r0.apk
2025-12-17 18:30
438K
pandora_box-0.17.0-r0.apk
2025-12-21 03:53
438K
3proxy-0.9.5-r1.apk
2025-11-17 13:19
437K
lcalc-doc-2.1.0-r0.apk
2025-05-04 02:50
437K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 20:54
436K
py3-fastavro-1.12.1-r0.apk
2025-10-14 01:59
434K
corosync-dev-3.1.9-r0.apk
2025-05-29 03:16
433K
zycore-doc-1.5.0-r1.apk
2025-07-11 04:51
432K
font-stix-ttf-2.13-r0.apk
2024-10-25 20:47
430K
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-16 18:42
429K
syd-tui-0.2.2-r0.apk
2025-12-08 02:54
428K
gnome-user-share-48.2-r0.apk
2025-11-23 10:54
427K
mergerfs-2.41.1-r0.apk
2025-12-13 16:04
427K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-04 15:23
425K
visidata-3.3-r0.apk
2025-10-03 08:45
424K
qtpass-1.4.0-r0.apk
2024-10-25 20:54
424K
esptool-4.8.1-r0.apk
2024-10-25 20:47
424K
gdcm-3.2.2-r3.apk
2025-12-02 06:51
422K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 20:53
421K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 20:41
421K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:28
420K
llvm-next-offload-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
420K
gaupol-pyc-1.12-r2.apk
2024-10-25 20:47
419K
xfsdump-3.2.0-r0.apk
2025-08-30 20:48
418K
desed-1.2.1-r1.apk
2024-10-25 20:46
417K
py3-igraph-1.0.0-r0.apk
2025-10-28 02:22
415K
drumgizmo-0.9.20-r1.apk
2024-10-25 20:46
414K
mm-common-1.0.7-r0.apk
2025-06-27 16:40
414K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 20:49
413K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 20:49
413K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 20:49
413K
welle-io-2.7-r0.apk
2025-04-07 19:08
413K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 20:58
410K
appcenter-8.0.0-r0.apk
2024-11-12 22:42
409K
xml2rfc-pyc-3.28.1-r3.apk
2025-12-18 11:07
407K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 20:41
407K
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:21
404K
startup-2.0.3-r5.apk
2024-10-25 20:56
403K
noblenote-1.2.1-r1.apk
2024-10-25 20:51
403K
knxd-0.14.61-r1.apk
2024-12-14 21:36
402K
perl-devel-nytprof-6.14-r1.apk
2025-06-30 09:24
400K
musikcube-plugin-server-3.0.5-r0.apk
2025-10-03 08:44
399K
openvpn3-3.8.5-r1.apk
2025-02-18 22:22
398K
wlroots0.18-0.18.3-r0.apk
2025-11-28 23:54
398K
bkt-0.8.0-r0.apk
2024-10-25 20:45
396K
py3-pacparser-1.4.5-r1.apk
2024-10-25 20:54
396K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 20:45
395K
video-trimmer-25.03-r0.apk
2025-06-30 13:48
395K
ruby-mail-2.9.0-r0.apk
2025-10-24 12:36
394K
piper-phonemize-dev-2023.11.14.4-r9.apk
2025-07-12 16:34
394K
highctidh-1.0.2024092800-r0.apk
2024-11-25 20:41
394K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 20:49
394K
py3-xsdata-pyc-25.7-r0.apk
2025-07-07 20:48
392K
code-minimap-0.6.7-r0.apk
2024-12-12 19:40
392K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 04:50
389K
llvm-next-libc++-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
389K
mediastreamer2-5.3.112-r1.apk
2025-10-19 09:50
388K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 20:53
387K
pcl-dev-1.14.1-r0.apk
2025-02-18 22:22
387K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-28 14:24
387K
wlroots0.17-0.17.4-r3.apk
2025-08-12 22:42
385K
exabgp-4.2.24-r1.apk
2025-09-06 17:16
385K
php82-fileinfo-8.2.30-r1.apk
2025-12-17 20:31
385K
php81-fileinfo-8.1.34-r0.apk
2025-12-17 02:37
384K
grip-4.2.4-r0.apk
2024-10-25 20:48
383K
epic6-0_git20250821-r0.apk
2025-09-06 17:16
383K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 20:54
383K
eclib-20250627-r2.apk
2025-11-26 08:43
382K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 20:13
382K
dum-0.1.20-r1.apk
2025-03-29 14:01
380K
bazaar-0.5.8-r0.apk
2025-11-04 15:22
380K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
379K
gloox-1.0.28-r0.apk
2024-10-25 20:47
379K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 22:37
379K
barman-3.16.2-r0.apk
2025-11-20 09:16
378K
toml2json-1.3.2-r0.apk
2025-09-01 13:13
377K
bartib-1.0.1-r1.apk
2024-10-25 20:45
377K
libuninameslist-20230916-r0.apk
2024-10-25 20:49
376K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 05:24
375K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 02:22
374K
advancemame-doc-3.9-r4.apk
2024-10-25 20:45
373K
wcm-0.9.0-r0.apk
2025-02-27 20:19
372K
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 15:32
372K
lgogdownloader-3.16-r1.apk
2025-03-25 15:24
371K
planner-0.14.92-r1.apk
2024-12-08 21:45
370K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 20:57
370K
py3-bleak-0.22.3-r0.apk
2024-10-25 20:53
370K
lomiri-history-service-0.6-r20.apk
2025-12-19 11:41
369K
checkpolicy-3.6-r0.apk
2024-10-25 20:46
369K
nfcd-1.2.3-r1.apk
2025-11-15 11:11
369K
lomiri-app-launch-0.1.12-r5.apk
2025-12-18 14:23
369K
py3-hfst-3.16.2-r0.apk
2025-03-28 16:14
368K
moosefs-master-4.56.6-r2.apk
2025-06-19 08:53
367K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 20:49
366K
py3-django-suit-0.2.28-r8.apk
2024-10-25 20:53
366K
libntl-doc-11.6.0-r0.apk
2025-11-08 07:16
365K
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 12:09
364K
diskus-0.8.0-r0.apk
2025-05-18 22:16
364K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 20:47
363K
vile-common-9.8z_p1-r2.apk
2025-10-06 11:33
363K
gkrellm-2.3.11-r0.apk
2025-01-08 22:37
362K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
py3-pycaption-2.2.15-r0.apk
2024-10-25 20:54
360K
singular-dev-4.4.1_p2-r1.apk
2025-11-26 08:44
359K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 20:56
359K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 20:54
358K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 20:48
358K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 20:54
358K
font-aref-ruqaa-1.006-r0.apk
2025-04-12 12:25
357K
vile-doc-9.8z_p1-r2.apk
2025-10-06 11:33
357K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:28
355K
xdg-desktop-portal-hyprland-1.3.11-r0.apk
2025-12-14 11:02
354K
fuzzylite-libs-6.0-r2.apk
2025-01-31 21:54
354K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 20:49
353K
litehtml-0.9-r2.apk
2025-02-18 22:21
352K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
xml2rfc-3.28.1-r3.apk
2025-12-18 11:07
352K
ocaml-qtest-2.11.2-r3.apk
2024-10-25 20:52
351K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 20:51
350K
ocaml-utop-2.9.1-r4.apk
2024-10-25 20:52
349K
pw-volume-0.5.0-r1.apk
2024-10-25 20:53
347K
habitctl-0.1.0-r2.apk
2024-10-25 20:48
347K
foma-0.10.0_git20240712-r0.apk
2024-10-25 20:47
346K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 20:47
345K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 20:13
345K
cutechess-cli-1.3.1-r0.apk
2024-10-25 20:46
344K
py3-rns-1.0.0-r0.apk
2025-10-03 08:45
344K
perl-html-object-0.6.0-r0.apk
2025-10-16 16:26
343K
helvum-0.5.1-r0.apk
2024-10-25 20:48
341K
sigma-pyc-0.23.1-r1.apk
2024-10-25 20:56
340K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-20 11:21
339K
ffmpeg4-libavutil-4.4.5-r4.apk
2025-10-19 09:50
338K
timoni-doc-0.23.0-r10.apk
2025-12-04 22:25
338K
php82-pear-8.2.30-r1.apk
2025-12-17 20:31
338K
php81-pear-8.1.34-r0.apk
2025-12-17 02:37
338K
perl-snmp-info-3.974000-r0.apk
2025-09-12 04:50
337K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 20:49
336K
biometryd-0.3.3-r0.apk
2025-12-09 11:12
336K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 21:38
335K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:47
335K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 11:56
334K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 21:36
334K
libvmaf-3.0.0-r0.apk
2024-10-25 20:49
334K
diceware-1.0.1-r0.apk
2025-01-13 22:50
334K
projectm-sdl-3.1.12-r2.apk
2024-10-25 20:53
334K
klong-20221212-r0.apk
2025-06-07 15:17
332K
py3-eventlet-0.38.1-r0.apk
2024-12-11 21:38
332K
rclone-browser-1.8.0-r1.apk
2024-10-25 20:54
331K
openwsman-libs-2.8.1-r1.apk
2025-06-30 09:24
330K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-17 16:43
330K
linux-gpib-doc-4.3.7-r0.apk
2025-12-07 00:00
326K
waylevel-1.0.0-r1.apk
2024-10-25 20:58
326K
hex-0.6.0-r0.apk
2024-10-25 20:48
324K
perl-module-generic-1.1.3-r0.apk
2025-10-24 17:59
323K
fileshelter-6.2.0-r4.apk
2025-10-03 08:43
323K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-04 02:50
323K
rizin-dev-0.8.1-r0.apk
2025-06-30 16:51
322K
monetdb-doc-11.33.11-r4.apk
2024-10-25 20:51
321K
csmith-2.3.0-r2.apk
2024-10-25 20:46
320K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 20:51
319K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-04 15:23
319K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 06:59
319K
welle-cli-2.7-r0.apk
2025-04-07 19:08
318K
66-0.8.2.1-r0.apk
2025-06-05 23:37
317K
dnssec-tools-doc-2.2.3-r13.apk
2025-06-30 09:24
317K
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-16 17:24
317K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 20:47
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 20:47
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 20:47
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 20:47
316K
gnucobol-lang-3.2-r0.apk
2025-07-28 14:02
316K
flint-dev-3.4.0-r0.apk
2025-11-26 08:43
316K
pypykatz-0.6.11-r1.apk
2025-05-29 12:09
315K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 20:49
315K
virtualgl-doc-3.1.4-r0.apk
2025-10-09 00:04
314K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 20:49
314K
lldb-next-pyc-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
314K
bash-pinyin-completion-rs-1.0.3-r0.apk
2025-12-10 00:02
313K
corosync-3.1.9-r0.apk
2025-05-29 03:16
313K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:34
312K
trigger-rally-0.6.7-r3.apk
2025-03-25 15:24
312K
xgalaga-2.1.1.0-r1.apk
2024-10-25 20:58
312K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 08:53
312K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:38
311K
py3-iso639-lang-2.6.3-r0.apk
2025-12-04 22:24
311K
plplot-doc-5.15.0-r2.apk
2024-10-25 20:53
310K
tmate-2.4.0-r4.apk
2024-10-25 20:57
310K
gstreamermm-dev-1.10.0-r6.apk
2025-02-18 22:21
309K
libtins-4.5-r2.apk
2025-10-15 08:23
309K
minisatip-1.3.4-r0.apk
2024-10-25 20:50
308K
cluster-glue-1.0.12-r5.apk
2024-10-25 20:46
308K
cddlib-static-0.94m-r2.apk
2024-10-25 20:45
307K
budgie-session-lang-0.9.1-r0.apk
2025-10-16 17:15
306K
commoncpp-7.0.1-r1.apk
2024-10-25 20:46
306K
botan2-doc-2.19.5-r0.apk
2025-05-28 21:38
306K
libspatialindex-2.1.0-r1.apk
2025-11-10 05:30
306K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:36
305K
psftools-1.1.2-r0.apk
2024-10-25 20:53
304K
guake-3.10.1-r0.apk
2025-11-24 18:08
304K
libzvbi-static-0.2.44-r0.apk
2025-03-11 21:05
304K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-06-30 09:24
304K
libguestfs-1.56.1-r0.apk
2025-07-22 22:10
304K
gst-video-thumbnailer-1.0_alpha1-r2.apk
2025-12-21 03:53
303K
libre-4.1.0-r0.apk
2025-10-03 08:44
302K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 20:51
302K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 04:55
301K
sfwbar-1.0_beta161-r0.apk
2025-07-31 13:29
300K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 20:49
297K
anari-sdk-0.7.2-r0.apk
2024-10-25 20:45
297K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 20:53
297K
py3-flask-security-5.6.2-r0.apk
2025-11-10 03:20
296K
convert2json-yaml-jaq-2.4.1-r0.apk
2025-12-15 16:19
296K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 20:54
295K
libqofono-qt5-0.124-r0.apk
2025-01-10 12:05
295K
ngs-0.2.14-r0.apk
2024-10-25 20:51
295K
bees-0.10-r2.apk
2024-10-25 20:45
295K
mkosi-26-r0.apk
2025-12-17 18:30
294K
opmsg-1.84-r1.apk
2024-10-25 20:53
294K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 20:54
293K
hardinfo2-lang-2.2.13-r0.apk
2025-10-03 08:43
291K
emacs-ement-0.16-r0.apk
2025-04-23 05:12
290K
lomiri-content-hub-2.2.1-r0.apk
2025-12-09 11:12
288K
nwg-panel-0.10.13-r0.apk
2025-11-28 13:30
288K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:10
287K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 20:53
287K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-04 15:23
287K
gst-audio-thumbnailer-1.0_alpha1-r2.apk
2025-12-21 03:53
287K
perl-imager-doc-1.028-r1.apk
2025-06-30 09:24
286K
devil-1.8.0-r0.apk
2024-10-25 20:46
286K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 20:53
286K
convert2json-yaml-json-2.4.1-r0.apk
2025-12-15 16:19
285K
py3-imageio-2.37.0-r0.apk
2025-12-09 12:32
285K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 15:59
285K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 20:49
285K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
nomadnet-pyc-0.8.0-r0.apk
2025-10-03 08:44
284K
komikku-lang-1.85.0-r0.apk
2025-08-22 08:07
284K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 20:53
284K
vectoroids-1.1.0-r2.apk
2024-10-25 20:58
282K
uasm-2.56.2-r0.apk
2024-10-25 20:58
282K
mediascanner2-0.118-r4.apk
2025-11-17 13:20
282K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 20:47
281K
amule-doc-2.3.3-r13.apk
2024-10-25 20:45
281K
ffmpeg4-dev-4.4.5-r4.apk
2025-10-19 09:50
281K
snapraid-13.0-r0.apk
2025-11-04 15:23
280K
irccd-4.0.3-r0.apk
2024-10-25 20:48
280K
swayhide-0.2.1-r2.apk
2024-10-25 20:57
279K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 20:53
278K
timewarrior-1.7.1-r0.apk
2024-10-25 20:57
277K
yosys-dev-0.57-r0.apk
2025-10-24 22:33
277K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 13:20
277K
masky-0.2.0-r2.apk
2025-05-29 12:09
277K
libretro-blastem-0_git20210810-r0.apk
2024-10-25 20:49
277K
gaupol-lang-1.12-r2.apk
2024-10-25 20:47
276K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 20:54
276K
objconv-2.52_git20210213-r2.apk
2024-10-25 20:51
276K
biboumi-9.0-r9.apk
2025-10-06 11:33
276K
gaupol-1.12-r2.apk
2024-10-25 20:47
276K
solanum-6.0.0-r0.apk
2025-04-10 13:01
275K
lynis-3.1.4-r0.apk
2025-07-29 08:16
275K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 20:54
275K
py3-anyascii-0.3.2-r1.apk
2024-10-25 20:53
274K
advancescan-1.18-r1.apk
2024-10-25 20:45
274K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:46
274K
nwipe-0.39-r0.apk
2025-12-05 02:01
272K
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 13:30
269K
xtensor-0.27.0-r0.apk
2025-08-25 06:41
269K
lomiri-lang-0.5.0-r4.apk
2025-12-18 14:23
269K
vimv-3.1.0-r0.apk
2025-10-12 21:23
269K
convert2json-plist-jaq-2.4.1-r0.apk
2025-12-15 16:19
269K
timew-1.4.3-r1.apk
2024-10-25 20:57
268K
river-luatile-0.1.4-r0.apk
2025-05-19 12:46
268K
convert2json-toml-jaq-2.4.1-r0.apk
2025-12-15 16:19
268K
restinio-dev-0.6.19-r1.apk
2024-12-14 21:36
268K
convert2json-bson-jaq-2.4.1-r0.apk
2025-12-15 16:19
267K
edit-1.2.1-r0.apk
2025-10-16 13:17
266K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 20:51
266K
font-anonymous-pro-1.002-r2.apk
2024-10-25 20:47
264K
ovn-doc-25.09.2-r0.apk
2025-12-14 22:06
263K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-09 11:13
263K
perl-badger-doc-0.16-r1.apk
2025-11-11 23:14
262K
perl-module-generic-doc-1.1.3-r0.apk
2025-10-24 17:59
262K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 20:51
260K
moosefs-4.56.6-r2.apk
2025-06-19 08:53
259K
convert2json-plist-json-2.4.1-r0.apk
2025-12-15 16:19
259K
llvm-next-offload-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
259K
appcenter-lang-8.0.0-r0.apk
2024-11-12 22:42
258K
convert2json-toml-json-2.4.1-r0.apk
2025-12-15 16:19
257K
dcmtk-doc-3.6.9-r0.apk
2025-01-19 16:48
257K
ginger-2.4.0-r7.apk
2024-10-25 20:47
257K
convert2json-bson-json-2.4.1-r0.apk
2025-12-15 16:19
256K
avra-dev-1.4.2-r0.apk
2024-10-25 20:45
254K
toybox-0.8.13-r0.apk
2025-10-16 10:31
254K
hdf4-4.2.15-r2.apk
2024-10-25 20:48
254K
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 11:40
253K
speedtest-5.2.5-r1.apk
2024-10-25 20:56
253K
perl-badger-0.16-r1.apk
2025-11-11 23:14
253K
font-commit-mono-1.143-r0.apk
2024-10-25 20:47
251K
linphone-dev-5.3.38-r0.apk
2024-10-25 20:49
249K
font-comic-neue-2.51-r0.apk
2024-10-25 20:47
249K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 20:51
248K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 20:51
248K
convert2json-csv-jaq-2.4.1-r0.apk
2025-12-15 16:19
247K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 21:30
247K
hatch-pyc-1.16.1-r0.apk
2025-11-30 20:02
246K
magpie-dev-0.9.4-r0.apk
2025-10-16 17:15
246K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:53
246K
jotdown-0.7.0-r0.apk
2025-03-11 20:13
245K
trantor-1.5.18-r0.apk
2024-10-25 20:57
245K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 20:54
244K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 20:49
244K
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-14 18:14
243K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 20:54
242K
tup-0.7.11-r1.apk
2025-06-19 08:53
242K
onionshare-pyc-2.6.3-r1.apk
2025-11-14 22:59
240K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 17:15
240K
py3-scrapy-2.11.1-r1.apk
2024-10-25 20:54
240K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 15:45
237K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-12 05:45
237K
gmid-2.1.1-r0.apk
2024-11-27 19:26
237K
sigma-0.23.1-r1.apk
2024-10-25 20:56
237K
convert2json-csv-json-2.4.1-r0.apk
2025-12-15 16:19
236K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 20:54
236K
scap-workbench-1.2.1-r3.apk
2024-10-25 20:56
235K
lomiri-docviewer-app-3.1.2-r0.apk
2025-11-15 10:36
234K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-09 11:12
234K
py3-pelican-4.9.1-r2.apk
2024-10-25 20:54
233K
qt5ct-1.9-r0.apk
2025-11-19 04:41
233K
gl2ps-doc-1.4.2-r0.apk
2025-10-12 21:32
233K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-24 02:16
232K
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 01:31
232K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:45
232K
warpinator-lang-2.0.0-r0.apk
2025-11-25 19:14
231K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 20:53
231K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 20:52
231K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 20:48
231K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 20:54
230K
boinc-libs-7.24.3-r0.apk
2024-10-25 20:45
230K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 20:54
229K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:45
228K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-09 11:13
228K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-09 11:12
227K
convert2json-cbor-jaq-2.4.1-r0.apk
2025-12-15 16:19
227K
py3-b2sdk-2.10.2-r0.apk
2025-12-16 18:42
227K
amber-mpris-1.2.9-r0.apk
2024-12-22 16:47
227K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 03:20
227K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 20:54
227K
parcellite-1.2.5-r0.apk
2024-10-25 20:53
227K
hiawatha-11.6-r1.apk
2025-05-27 11:11
227K
py3-confluent-kafka-pyc-2.9.0-r0.apk
2025-05-15 09:44
227K
yaru-shell-25.10.1-r0.apk
2025-07-13 19:49
227K
libzvbi-0.2.44-r0.apk
2025-03-11 21:05
226K
convert2json-messagepack-jaq-2.4.1-r0.apk
2025-12-15 16:19
225K
lomiri-clock-app-4.1.1-r0.apk
2025-05-14 17:29
225K
way-secure-0.2.0-r0.apk
2025-04-18 22:26
225K
convert2json-xml-jaq-2.4.1-r0.apk
2025-12-15 16:19
224K
perl-astro-pal-1.09-r0.apk
2025-07-21 08:48
224K
gmic-doc-3.6.0-r1.apk
2025-10-25 09:01
223K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 20:53
222K
lomiri-settings-components-1.1.3-r0.apk
2025-10-25 20:20
222K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 20:54
221K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 20:54
221K
lcalc-libs-2.1.0-r0.apk
2025-05-04 02:50
221K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:54
221K
libm4rie-static-20200125-r5.apk
2025-01-15 18:08
221K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 20:57
220K
warpinator-2.0.0-r0.apk
2025-11-25 19:14
220K
php81-pecl-swoole-dev-6.1.5-r0.apk
2025-12-21 22:47
220K
php82-pecl-swoole-dev-6.1.5-r0.apk
2025-12-21 22:24
220K
mspdebug-0.25-r1.apk
2024-10-25 20:51
220K
vmlinux.h-6.18-r0.apk
2025-12-16 22:36
220K
nuklear-4.12.0-r0.apk
2024-10-25 20:51
220K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 06:59
219K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 20:46
219K
hdf4-tools-4.2.15-r2.apk
2024-10-25 20:48
219K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 20:40
219K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 20:48
219K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:54
219K
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 20:56
219K
snapper-lang-0.13.0-r0.apk
2025-10-03 08:45
219K
libretro-gw-0_git20220410-r0.apk
2024-10-25 20:49
218K
perl-astro-satpass-0.134-r0.apk
2025-10-03 08:45
218K
ruby-facter-4.10.0-r0.apk
2025-07-28 02:05
218K
convert2json-cbor-json-2.4.1-r0.apk
2025-12-15 16:19
217K
cddlib-0.94m-r2.apk
2024-10-25 20:45
216K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-19 13:45
216K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:47
216K
perl-sys-virt-11.10.0-r0.apk
2025-12-03 16:06
215K
convert2json-messagepack-json-2.4.1-r0.apk
2025-12-15 16:19
215K
convert2json-xml-json-2.4.1-r0.apk
2025-12-15 16:19
214K
py3-simplesat-0.8.2-r0.apk
2024-10-25 20:54
214K
petitboot-1.15-r0.apk
2025-11-15 00:54
213K
libcob4-3.2-r0.apk
2025-07-28 14:02
212K
horizon-0.9.6-r9.apk
2024-10-25 20:48
211K
convert2json-ini-jaq-2.4.1-r0.apk
2025-12-15 16:19
210K
hfst-dev-3.16.2-r0.apk
2025-03-28 16:14
209K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 20:54
209K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 20:54
208K
ginger-pyc-2.4.0-r7.apk
2024-10-25 20:47
207K
aufs-util-20161219-r3.apk
2024-10-25 20:45
206K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 20:48
205K
plplot-libs-5.15.0-r2.apk
2024-10-25 20:53
205K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 20:47
205K
draco-dev-1.5.7-r2.apk
2025-02-18 22:21
204K
csfml-doc-2.5.2-r0.apk
2024-10-25 20:46
204K
ffmpeg4-libswscale-4.4.5-r4.apk
2025-10-19 09:50
203K
mint-y-theme-xfwm4-2.3.2-r0.apk
2025-11-14 22:59
203K
endeavour-lang-43.0-r2.apk
2024-12-08 21:45
203K
ssh-studio-1.3.1-r0.apk
2025-10-12 20:36
202K
py3-cryptg-0.5.0-r0.apk
2025-05-08 12:40
202K
remake-doc-1.5-r1.apk
2024-10-25 20:54
201K
libretro-opera-0_git20211214-r0.apk
2024-10-25 20:49
201K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 20:49
200K
android-file-transfer-4.5-r0.apk
2025-06-24 23:03
200K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 01:01
199K
libettercap-0.8.3.1-r3.apk
2024-10-25 20:49
199K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 20:49
199K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 20:52
199K
libretro-mu-0_git20220317-r0.apk
2024-10-25 20:49
199K
convert2json-ini-json-2.4.1-r0.apk
2025-12-15 16:19
199K
endeavour-43.0-r2.apk
2024-12-08 21:45
199K
libm4rie-20200125-r5.apk
2025-01-15 18:08
198K
font-tinos-0_git20210228-r0.apk
2024-10-25 20:47
198K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 20:51
198K
libsimpleble-0.10.3-r0.apk
2025-07-11 02:59
198K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:37
197K
vera++-1.3.0-r11.apk
2025-10-12 14:25
197K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 20:49
197K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 20:51
196K
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 17:29
196K
qt6ct-0.11-r2.apk
2025-12-04 22:24
196K
py3-owslib-0.35.0-r0.apk
2025-11-04 15:23
195K
gingerbase-2.3.0-r7.apk
2024-10-25 20:47
195K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 20:54
195K
kontainer-1.0.1-r0.apk
2025-06-16 22:23
195K
guake-lang-3.10.1-r0.apk
2025-11-24 18:08
194K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 20:49
194K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 20:45
193K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 16:50
193K
zpaq-7.15-r0.apk
2025-10-09 03:51
193K
dnssec-tools-dev-2.2.3-r13.apk
2025-06-30 09:24
192K
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
py3-netmiko-4.6.0-r0.apk
2025-08-13 15:32
192K
php81-pecl-redis-6.3.0-r0.apk
2025-11-07 16:50
192K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 20:56
191K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 20:53
191K
66-doc-0.8.2.1-r0.apk
2025-06-05 23:37
190K
corosync-doc-3.1.9-r0.apk
2025-05-29 03:16
190K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 20:54
190K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 20:51
190K
py3-xsdata-25.7-r0.apk
2025-07-07 20:48
189K
gearmand-1.1.22-r0.apk
2025-09-06 17:17
189K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-24 02:16
189K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:07
189K
gearmand-doc-1.1.22-r0.apk
2025-09-06 17:17
189K
telegram-tdlib-dev-1.8.51-r0.apk
2025-08-02 10:28
188K
convert2json-rsv-jaq-2.4.1-r0.apk
2025-12-15 16:19
188K
ace-of-penguins-1.4-r3.apk
2024-10-25 20:45
187K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 20:51
187K
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:10
187K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 20:51
187K
py3-confluent-kafka-2.9.0-r0.apk
2025-05-15 09:44
187K
aravis-libs-0.8.31-r0.apk
2024-10-25 20:45
187K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
186K
guake-pyc-3.10.1-r0.apk
2025-11-24 18:08
186K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-04 15:23
185K
whipper-pyc-0.10.0-r5.apk
2024-10-25 20:58
185K
libxo-1.7.5-r0.apk
2025-01-12 22:46
185K
sedutil-1.15.1-r1.apk
2024-10-25 20:56
184K
turntable-0.3.3-r0.apk
2025-05-27 13:02
184K
guetzli-0_git20191025-r2.apk
2025-05-25 07:56
183K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 20:47
182K
opendht-3.1.11-r0.apk
2025-01-27 20:57
182K
py3-pdal-3.4.5-r1.apk
2025-06-21 21:30
182K
pympress-pyc-1.8.6-r0.apk
2025-11-10 05:30
182K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 08:53
181K
wk-adblock-0.0.4-r5.apk
2024-10-25 20:58
181K
linkchecker-10.6.0-r0.apk
2025-08-10 11:40
181K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 20:54
180K
tinyemu-2019.12.21-r0.apk
2025-05-25 23:37
180K
ustr-static-1.0.4-r1.apk
2024-10-25 20:58
180K
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 20:13
179K
onionshare-2.6.3-r1.apk
2025-11-14 22:59
179K
pympress-1.8.6-r0.apk
2025-11-10 05:30
179K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 20:53
179K
zvbi-0.2.44-r0.apk
2025-03-11 21:05
179K
perl-ffi-platypus-2.10-r1.apk
2025-06-30 09:24
179K
burp-3.1.4-r0.apk
2024-10-25 20:45
179K
subliminal-pyc-2.4.0-r0.apk
2025-11-23 23:12
179K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 20:54
178K
lcalc-2.1.0-r0.apk
2025-05-04 02:50
178K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 20:51
177K
py3-apt-3.1.0-r0.apk
2025-12-21 03:53
177K
convert2json-rsv-json-2.4.1-r0.apk
2025-12-15 16:19
176K
perl-git-raw-0.90-r4.apk
2025-06-30 09:24
176K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 20:54
175K
makedumpfile-1.7.8-r0.apk
2025-11-05 15:07
175K
click-pyc-0.5.2-r4.apk
2025-02-18 22:21
175K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 14:46
174K
py3-langcodes-3.3.0-r2.apk
2024-10-25 20:54
173K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 20:46
173K
wolfssh-dev-1.4.17-r1.apk
2025-10-03 08:45
173K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 20:54
173K
kdiskmark-3.2.0-r0.apk
2025-06-30 16:46
172K
trace-cmd-3.3.1-r1.apk
2025-01-19 11:58
172K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 20:54
172K
pidif-0.1-r1.apk
2024-10-25 20:53
172K
kimchi-lang-3.0.0-r8.apk
2025-02-10 20:43
172K
youtube-viewer-gtk-3.11.6-r0.apk
2025-06-09 05:05
171K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 11:58
171K
perl-mce-doc-1.902-r0.apk
2025-09-10 04:01
171K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 20:49
171K
liboggz-dev-1.1.1-r2.apk
2024-10-25 20:49
170K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:57
170K
gnome-mahjongg-lang-49.0.1-r0.apk
2025-10-18 21:06
170K
dsp-2.0-r2.apk
2025-10-03 08:43
169K
ptpd-2.3.1-r1.apk
2024-10-25 20:53
169K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 20:49
169K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 20:49
169K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-12 21:22
169K
olsrd-0.9.8-r3.apk
2024-10-25 20:52
168K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-08 12:42
168K
lomiri-ui-toolkit-dev-1.3.5110-r5.apk
2025-12-18 14:23
168K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:35
167K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:29
166K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 20:49
166K
mame-plugins-0.251-r0.apk
2024-10-25 20:50
166K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:31
165K
sydbox-doc-3.45.2-r0.apk
2025-12-03 02:59
165K
soundconverter-4.1.1-r0.apk
2025-07-29 13:13
164K
fava-pyc-1.28-r0.apk
2024-10-25 20:47
164K
php82-intl-8.2.30-r1.apk
2025-12-17 20:31
163K
primecount-libs-7.20-r0.apk
2025-11-25 19:14
163K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 20:54
163K
srain-1.8.1-r0.apk
2025-06-26 18:43
163K
perl-dancer2-1.1.2-r0.apk
2024-12-28 04:55
163K
malcontent-0.13.1-r0.apk
2025-09-03 21:56
163K
libemf2svg-1.1.0-r3.apk
2025-10-06 11:33
162K
llvm-next-lit-pyc-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
162K
click-0.5.2-r4.apk
2025-02-18 22:21
162K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
162K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 20:54
161K
mimedefang-3.6-r1.apk
2025-10-06 01:17
160K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 20:49
160K
libbamf-0.5.6-r1.apk
2024-10-25 20:49
160K
libm4ri-static-20240729-r2.apk
2025-01-15 18:08
159K
emacs-company-1.0.2-r0.apk
2025-12-12 10:08
159K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 20:51
159K
libsemanage-dev-3.6-r1.apk
2024-10-25 20:49
158K
perl-x11-xcb-0.24-r0.apk
2025-12-13 07:35
158K
zutty-0.16-r0.apk
2025-01-12 21:01
157K
libntl-dev-11.6.0-r0.apk
2025-11-08 07:16
157K
steghide-0.5.1.1-r0.apk
2024-10-25 20:56
157K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 20:58
157K
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:57
157K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:57
157K
wok-3.0.0-r6.apk
2024-10-25 20:58
156K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 20:54
156K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 20:48
156K
smplxmpp-0.9.3-r5.apk
2025-10-12 12:22
155K
thefuck-pyc-3.32-r5.apk
2024-10-25 20:57
155K
py3-cssutils-2.11.1-r1.apk
2024-10-25 20:53
155K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 03:20
155K
heisenbridge-pyc-1.15.4-r0.apk
2025-10-06 05:07
155K
mir-demos-2.25.2-r0.apk
2025-12-18 14:23
154K
cscope-15.9-r1.apk
2024-10-25 20:46
154K
pithos-pyc-1.6.1-r0.apk
2024-10-25 20:53
154K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-07 19:50
154K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 20:57
153K
py3-moviepy-pyc-1.0.3-r6.apk
2025-12-09 12:32
153K
nb-7.19.1-r0.apk
2025-05-24 22:12
152K
vit-pyc-2.3.2-r1.apk
2024-10-25 20:58
151K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 20:49
150K
php82-pecl-protobuf-4.32.1-r0.apk
2025-10-24 02:16
150K
php81-pecl-protobuf-4.32.1-r0.apk
2025-10-03 08:45
150K
rmlint-2.10.2-r2.apk
2024-10-25 20:54
150K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-19 13:45
149K
gatling-0.16-r6.apk
2024-10-25 20:47
149K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 20:47
149K
qml-box2d-0_git20180406-r0.apk
2024-10-25 20:54
149K
epic6-script-0_git20250821-r0.apk
2025-09-06 17:16
149K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 12:33
149K
php81-gd-8.1.34-r0.apk
2025-12-17 02:37
148K
openswitcher-0.5.0-r4.apk
2024-10-25 20:53
148K
obnc-0.17.2-r0.apk
2025-05-25 23:39
148K
hyprsunset-0.3.3-r1.apk
2025-12-14 11:02
148K
py3-opendht-3.1.11-r0.apk
2025-01-27 20:57
148K
perl-ffi-platypus-doc-2.10-r1.apk
2025-06-30 09:24
148K
sdparm-1.12-r1.apk
2024-10-25 20:56
148K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 20:53
147K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 20:54
147K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 20:45
145K
font-fira-code-vf-6.2-r0.apk
2024-10-25 20:47
145K
gtranslator-49.0-r0.apk
2025-10-03 08:43
145K
php81-intl-8.1.34-r0.apk
2025-12-17 02:37
145K
py3-piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:34
144K
libm4ri-20240729-r2.apk
2025-01-15 18:08
144K
grip-lang-4.2.4-r0.apk
2024-10-25 20:48
144K
rauc-1.10.1-r0.apk
2024-10-25 20:54
144K
tinygltf-2.9.7-r0.apk
2025-11-04 15:23
144K
nbsdgames-5-r0.apk
2024-10-25 20:51
144K
php82-soap-8.2.30-r1.apk
2025-12-17 20:31
144K
php82-gd-8.2.30-r1.apk
2025-12-17 20:31
143K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-15 12:50
143K
nomadnet-0.8.0-r0.apk
2025-10-03 08:44
143K
pnmixer-0.7.2-r3.apk
2024-10-25 20:53
143K
terminology-lang-1.14.0-r0.apk
2025-05-27 23:25
143K
mapnik-doc-4.1.4-r0.apk
2025-12-04 22:24
143K
hypridle-0.1.7-r1.apk
2025-12-14 11:02
143K
wayqt-0.3.0-r1.apk
2025-08-21 08:05
142K
py3-migen-0.9.2-r2.apk
2024-10-25 20:54
142K
libsimplebluez-0.10.3-r0.apk
2025-07-11 02:59
142K
remake-1.5-r1.apk
2024-10-25 20:54
142K
php81-soap-8.1.34-r0.apk
2025-12-17 02:37
141K
nullmailer-2.2-r4.apk
2024-10-25 20:51
141K
piper-tts-dev-2023.11.14.2-r14.apk
2025-07-12 16:34
141K
motion-4.7.1-r0.apk
2025-10-03 08:44
140K
keepsecret-1.0.0-r0.apk
2025-12-15 16:23
139K
motion-doc-4.7.1-r0.apk
2025-10-03 08:44
139K
py3-cmd2-2.4.3-r2.apk
2024-10-25 20:53
139K
bochs-doc-2.8-r1.apk
2025-02-20 18:30
139K
wolfssh-1.4.17-r1.apk
2025-10-03 08:45
138K
getmail6-doc-6.19.10-r0.apk
2025-08-19 11:29
138K
libtins-dev-4.5-r2.apk
2025-10-15 08:23
138K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 20:46
137K
lomiri-docviewer-app-lang-3.1.2-r0.apk
2025-11-15 10:36
137K
bootchart2-0.14.9-r1.apk
2025-11-17 13:19
137K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-10-03 08:45
137K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 20:53
136K
neard-0.19-r0.apk
2024-10-25 20:51
136K
ovos-audio-1.0.1-r0.apk
2025-07-15 20:05
136K
libvoikko-4.3.2-r1.apk
2024-10-25 20:49
136K
b2-tools-pyc-4.3.2-r0.apk
2025-05-04 02:50
136K
perl-html-formhandler-0.40068-r0.apk
2025-05-04 02:50
135K
gcli-2.9.1-r0.apk
2025-11-09 16:40
135K
log4cxx-dev-1.1.0-r3.apk
2025-02-18 22:21
135K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 20:49
134K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:37
134K
perl-mce-1.902-r0.apk
2025-09-10 04:01
134K
liboggz-doc-1.1.1-r2.apk
2024-10-25 20:49
134K
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:03
134K
llvm-next-openmp-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
134K
cgiirc-0.5.12-r1.apk
2024-10-25 20:46
134K
w_scan2-1.0.17-r0.apk
2025-06-11 17:46
134K
lfm-pyc-3.1-r4.apk
2024-10-25 20:49
133K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 09:32
133K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 20:53
133K
perl-astro-satpass-doc-0.134-r0.apk
2025-10-03 08:45
133K
py3-pdoc-15.0.4-r0.apk
2025-10-12 21:22
133K
debconf-lang-1.5.82-r0.apk
2024-10-25 20:46
132K
arj-0_git20220125-r1.apk
2024-10-25 20:45
132K
berry-lang-1.1.0-r0.apk
2024-10-25 20:45
132K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 20:49
132K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 20:48
132K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 12:09
131K
bdfr-2.6.2-r1.apk
2024-10-25 20:45
131K
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:19
130K
conserver-8.2.7-r0.apk
2025-12-18 17:45
130K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:21
130K
ruby-byebug-12.0.0-r0.apk
2025-10-21 04:13
130K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:05
130K
piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:34
130K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 21:16
130K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-13 16:04
130K
deviced-0_git20250427-r0.apk
2025-07-05 20:04
130K
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 20:51
129K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 20:45
129K
typobuster-1.0.0-r0.apk
2025-04-23 22:31
129K
primesieve-libs-12.10-r0.apk
2025-11-25 19:14
129K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:34
129K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 12:09
129K
liboggz-1.1.1-r2.apk
2024-10-25 20:49
129K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 08:53
129K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 20:54
129K
libabigail-tools-2.8-r0.apk
2025-11-04 15:23
128K
py3-github3-4.0.1-r1.apk
2024-10-25 20:54
128K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 20:58
128K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 19:22
128K
catfish-4.20.1-r0.apk
2025-07-07 19:29
128K
xosview-1.24-r0.apk
2024-10-25 20:58
127K
cdist-pyc-7.0.0-r6.apk
2024-10-25 20:45
127K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 20:49
127K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-06 17:18
126K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 20:49
126K
topgit-0.19.13-r1.apk
2024-10-25 20:57
126K
py3-msldap-0.5.15-r2.apk
2025-10-17 16:43
126K
stgit-doc-2.4.7-r1.apk
2024-10-25 20:56
126K
sbase-0_git20210730-r3.apk
2024-10-25 20:56
126K
arc-gtk3-20221218-r0.apk
2024-10-25 20:45
126K
budgie-session-0.9.1-r0.apk
2025-10-16 17:15
125K
hyprpicker-0.4.5-r1.apk
2025-12-14 11:02
125K
ginger-lang-2.4.0-r7.apk
2024-10-25 20:47
125K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 20:54
125K
osmctools-0.9-r0.apk
2024-10-25 20:53
125K
py3-cchardet-2.1.7-r5.apk
2024-10-25 20:53
125K
zile-2.6.2-r1.apk
2024-10-25 20:58
125K
php82-phar-8.2.30-r1.apk
2025-12-17 20:31
124K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 20:45
124K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 20:54
124K
php81-phar-8.1.34-r0.apk
2025-12-17 02:37
124K
otpclient-4.1.1-r0.apk
2025-07-22 22:45
124K
hw-probe-1.6.6-r2.apk
2025-06-30 09:24
124K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 20:45
123K
libnih-dev-1.0.3-r7.apk
2024-10-25 20:49
123K
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-09 11:13
123K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 20:49
123K
faust-tools-2.79.3-r0.apk
2025-06-07 18:47
123K
py3-minikerberos-0.4.9-r0.apk
2025-11-04 15:23
123K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 20:54
122K
py3-edalize-0.5.4-r0.apk
2024-10-25 20:54
122K
opkg-dev-0.7.0-r0.apk
2024-10-25 20:53
122K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 04:09
121K
drogon-dev-1.9.4-r2.apk
2025-05-22 06:59
121K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 08:53
121K
way-displays-1.15.0-r0.apk
2025-10-03 08:45
120K
tachyon-0.99_beta6-r2.apk
2025-12-10 19:01
120K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 20:54
120K
perl-git-raw-doc-0.90-r4.apk
2025-06-30 09:24
119K
py3-apt-pyc-3.1.0-r0.apk
2025-12-21 03:53
119K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 20:54
119K
shellinabox-2.21-r3.apk
2024-10-25 20:56
119K
wok-pyc-3.0.0-r6.apk
2024-10-25 20:58
118K
font-firamath-0.3.4-r0.apk
2024-10-25 20:47
118K
py3-scs-3.2.3-r4.apk
2024-10-25 20:54
118K
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-10 17:45
118K
elementary-videos-8.0.2-r0.apk
2025-09-02 02:28
118K
mangowc-0.10.8-r0.apk
2025-12-21 03:53
118K
uucp-doc-1.07-r6.apk
2024-10-25 20:58
118K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:54
118K
compiz-dev-0.9.14.2-r13.apk
2025-11-29 00:01
117K
belr-5.3.105-r0.apk
2025-02-25 12:54
116K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 20:46
116K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 20:48
116K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:03
116K
lizardfs-3.13.0-r17.apk
2025-06-19 08:53
115K
py3-osqp-0.6.2-r6.apk
2024-10-25 20:54
115K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 20:54
115K
hatch-1.16.1-r0.apk
2025-11-30 20:02
115K
wasmtime-dev-39.0.1-r0.apk
2025-12-08 21:05
114K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 20:48
114K
perl-finance-quote-1.68-r0.apk
2025-12-22 10:55
114K
whipper-0.10.0-r5.apk
2024-10-25 20:58
113K
arc-gtk4-20221218-r0.apk
2024-10-25 20:45
113K
x11docker-7.6.0-r1.apk
2024-10-25 20:58
113K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 20:49
113K
perl-libapreq2-2.17-r3.apk
2025-06-30 09:24
113K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 20:45
113K
py3-caldav-2.2.3-r0.apk
2025-12-07 19:50
112K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 20:54
112K
catdoc-0.95-r1.apk
2024-10-25 20:45
112K
moe-1.14-r0.apk
2024-10-25 20:51
112K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 20:54
112K
wf-config-0.9.0-r0.apk
2025-02-27 20:19
112K
libbraiding-1.3.1-r0.apk
2024-11-17 04:09
112K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 20:54
111K
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 00:01
111K
fungw-fawk-1.2.2-r0.apk
2025-10-03 08:43
111K
ruri-3.9.3-r0.apk
2025-10-27 08:52
111K
menumaker-0.99.14-r1.apk
2024-10-25 20:50
111K
emacs-embark-1.1-r0.apk
2025-04-23 05:43
111K
maxima-emacs-5.48.1-r9.apk
2025-08-22 10:31
110K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 20:54
110K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 20:49
110K
libnih-1.0.3-r7.apk
2024-10-25 20:49
110K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-04 22:24
110K
perl-soap-lite-1.27-r5.apk
2024-10-25 20:53
110K
detox-2.0.0-r0.apk
2024-10-25 20:46
110K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 20:45
110K
hypnotix-3.5-r0.apk
2024-10-25 20:48
110K
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 15:55
110K
py3-pyinstrument-5.1.1-r0.apk
2025-08-13 05:20
110K
font-cousine-0_git20210228-r0.apk
2024-10-25 20:47
110K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:07
110K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:07
110K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 20:54
109K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 09:50
109K
libsbsms-2.3.0-r0.apk
2024-10-25 20:49
109K
daemontools-0.76-r3.apk
2024-10-25 20:46
109K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:10
109K
py3-lxmf-pyc-0.8.0-r0.apk
2025-10-03 08:45
109K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 18:15
109K
bazaar-lang-0.5.8-r0.apk
2025-11-04 15:22
109K
atomicparsley-20240608-r0.apk
2024-10-25 20:45
109K
lomiri-telephony-service-lang-0.6.2-r1.apk
2025-12-19 11:41
108K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 20:51
108K
elf_diff-0.7.1-r3.apk
2024-10-25 20:46
108K
handlebars-1.0.0-r1.apk
2024-10-25 20:48
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 20:46
108K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 09:50
107K
interception-tools-0.6.8-r3.apk
2025-10-12 14:20
107K
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-25 20:20
107K
crispy-doom-doc-7.1-r0.apk
2025-10-03 08:43
107K
otrs-setup-6.0.48-r2.apk
2024-10-25 20:53
107K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 20:54
107K
formiko-1.5.0-r0.apk
2025-08-15 09:06
107K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 13:13
107K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 20:54
107K
perl-software-license-0.104007-r0.apk
2025-07-08 16:54
106K
ssh-studio-pyc-1.3.1-r0.apk
2025-10-12 20:36
106K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 20:54
106K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-03 16:06
106K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 15:45
106K
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 11:02
106K
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 20:51
106K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 20:57
106K
anarch-1.0-r1.apk
2024-10-25 20:45
106K
qdjango-0.6.2-r1.apk
2024-10-25 20:54
105K
libofx-tools-0.10.9-r1.apk
2024-10-25 20:49
105K
kronosnet-doc-1.30-r0.apk
2025-05-27 23:27
105K
policycoreutils-lang-3.6-r1.apk
2024-10-25 20:53
105K
fceux-doc-2.6.6-r4.apk
2025-10-03 08:43
104K
simavr-1.7-r1.apk
2024-10-25 20:56
104K
pithos-1.6.1-r0.apk
2024-10-25 20:53
104K
py3-engineio-pyc-4.12.3-r0.apk
2025-11-27 09:36
104K
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:29
104K
nm-tray-0.5.1-r0.apk
2025-09-11 17:36
104K
gtypist-doc-2.10.1-r0.apk
2025-10-12 21:00
104K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 20:53
104K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 20:54
103K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 11:29
103K
rizin-cutter-dev-2.4.1-r0.apk
2025-06-30 16:51
103K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 20:58
103K
dooit-pyc-3.3.3-r0.apk
2025-10-27 08:52
103K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 20:54
103K
reprotest-pyc-0.7.32-r0.apk
2025-11-04 15:23
103K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 20:53
102K
h4h5tools-2.2.5-r4.apk
2024-10-25 20:48
102K
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 20:40
102K
py3-qdldl-0.1.5-r4.apk
2024-10-25 20:54
102K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 20:52
102K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 20:53
101K
singular-emacs-4.4.1_p2-r1.apk
2025-11-26 08:44
101K
csfml-2.5.2-r0.apk
2024-10-25 20:46
101K
hdf4-dev-4.2.15-r2.apk
2024-10-25 20:48
101K
py3-cdio-2.1.1-r6.apk
2025-01-20 20:44
101K
libiio-tools-0.25-r2.apk
2024-10-25 20:49
100K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 20:56
100K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-06 17:41
100K
py3-pyinstrument-pyc-5.1.1-r0.apk
2025-08-13 05:20
100K
caffeine-ng-4.2.0-r1.apk
2024-10-25 20:45
100K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-10 23:05
100K
predict-2.3.1-r0.apk
2024-11-23 19:08
100K
libctl-4.5.1-r1.apk
2024-10-25 20:49
100K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 20:56
100K
libgivaro-static-4.2.0-r2.apk
2024-10-25 20:49
99K
u1db-qt-0.1.8-r0.apk
2024-10-25 20:58
99K
cpplint-pyc-2.0.2-r0.apk
2025-04-14 00:18
99K
cddlib-tools-0.94m-r2.apk
2024-10-25 20:45
99K
burp-doc-3.1.4-r0.apk
2024-10-25 20:45
99K
libmhash-0.9.9.9-r3.apk
2024-10-25 20:49
99K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:22
99K
jdebp-redo-1.4-r1.apk
2024-10-25 20:48
99K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 20:51
99K
mpdcron-0.3-r1.apk
2024-10-25 20:51
98K
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:29
98K
py3-qpageview-0.6.2-r1.apk
2024-10-25 20:54
98K
azote-pyc-1.14.0-r0.apk
2024-12-14 21:36
98K
py3-transitions-0.9.2-r0.apk
2024-10-25 20:54
97K
py3-avro-1.11.3-r1.apk
2024-10-25 20:53
97K
ginac-doc-1.8.9-r0.apk
2025-05-25 07:56
97K
ssdfs-tools-4.09-r0.apk
2024-10-25 20:56
97K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 16:28
97K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:21
97K
libsemanage-3.6-r1.apk
2024-10-25 20:49
97K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 20:49
97K
ccrtp-2.1.2-r0.apk
2024-10-25 20:45
96K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 20:54
96K
ustr-doc-1.0.4-r1.apk
2024-10-25 20:58
96K
lomiri-ui-toolkit-lang-1.3.5110-r5.apk
2025-12-18 14:23
96K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 20:52
96K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 20:54
96K
llvm-next-lit-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
96K
eclib-dev-20250627-r2.apk
2025-11-26 08:43
96K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 13:37
95K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 20:49
95K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:54
95K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:20
95K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-02 23:03
95K
moosefs-doc-4.56.6-r2.apk
2025-06-19 08:53
95K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 20:49
95K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:34
95K
py3-mnemonic-0.21-r0.apk
2024-10-25 20:54
95K
py3-arcus-5.3.0-r5.apk
2025-06-12 14:19
94K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-18 08:47
94K
kronosnet-1.30-r0.apk
2025-05-27 23:27
94K
perl-net-dbus-doc-1.2.0-r0.apk
2025-12-13 07:35
94K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:20
94K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 20:49
93K
sqliteodbc-0.99991-r0.apk
2024-10-25 20:56
93K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 20:45
93K
ruby-build-20250925-r0.apk
2025-10-03 08:45
93K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-19 13:45
93K
video-trimmer-lang-25.03-r0.apk
2025-06-30 13:48
92K
perl-net-dbus-1.2.0-r0.apk
2025-12-13 07:35
92K
py3-unicorn-pyc-2.1.4-r0.apk
2025-10-14 14:04
92K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:10
92K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:31
92K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-04 15:23
92K
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 20:45
92K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 15:45
92K
monopd-0.10.4-r0.apk
2025-01-11 11:11
91K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 20:54
91K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-14 17:29
91K
py3-moviepy-1.0.3-r6.apk
2025-12-09 12:32
91K
ustr-dev-1.0.4-r1.apk
2024-10-25 20:58
91K
gupnp-1.6.9-r1.apk
2025-08-09 12:15
91K
elementary-dock-8.0.2-r0.apk
2025-05-24 23:30
91K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 20:54
91K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 20:54
91K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 05:11
91K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:35
90K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 05:11
90K
emacs-elfeed-3.4.2-r0.apk
2025-04-23 05:12
90K
gammastep-2.0.9-r3.apk
2024-10-25 20:47
90K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 20:49
90K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:20
90K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 20:53
90K
katarakt-0.2-r1.apk
2025-01-29 19:22
90K
tcmu-runner-1.6.0-r6.apk
2024-10-25 20:57
90K
gearman-libs-1.1.22-r0.apk
2025-09-06 17:17
90K
lua-lut-1.2.1-r0.apk
2024-10-25 20:49
90K
xa-2.4.1-r0.apk
2025-02-25 12:37
90K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 20:54
90K
perl-finance-quote-doc-1.68-r0.apk
2025-12-22 10:55
90K
subliminal-2.4.0-r0.apk
2025-11-23 23:12
90K
xtl-0.8.1-r0.apk
2025-10-27 13:42
89K
libigraph-dev-1.0.0-r0.apk
2025-10-28 02:22
89K
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 20:46
89K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 20:58
89K
fusesoc-pyc-2.3-r0.apk
2024-10-25 20:47
89K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 20:54
89K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:57
89K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 05:33
89K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 20:51
89K
barman-doc-3.16.2-r0.apk
2025-11-20 09:16
89K
planarity-libs-4.0.1.0-r0.apk
2025-10-19 05:37
88K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 20:46
88K
elementary-camera-8.0.2-r0.apk
2025-09-02 02:26
88K
razercfg-0.42-r7.apk
2024-10-25 20:54
88K
py3-asysocks-0.2.18-r0.apk
2025-11-04 15:23
88K
duc-1.4.5-r0.apk
2024-10-25 20:46
88K
btpd-0.16-r2.apk
2024-10-25 20:45
88K
lavacli-pyc-2.4-r0.apk
2025-06-15 03:44
88K
lfm-3.1-r4.apk
2024-10-25 20:49
88K
pimd-3.0_git20220201-r0.apk
2024-10-25 20:53
87K
gtkhash-1.5-r0.apk
2025-03-10 19:32
87K
libucl-dev-0.9.0-r0.apk
2024-10-25 20:49
87K
aptdec-1.8.0-r1.apk
2025-02-08 23:41
86K
vim-airline-0.11-r0.apk
2024-10-25 20:58
86K
libmustache-0.5.0-r1.apk
2024-10-25 20:49
86K
aide-0.19.2-r0.apk
2025-09-06 17:16
86K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:35
86K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 20:49
86K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 20:45
86K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 20:54
86K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 20:54
85K
neofetch-7.1.0-r2.apk
2024-11-06 21:47
85K
boxes-2.3.1-r0.apk
2024-10-25 20:45
85K
elementary-settings-daemon-8.3.0-r0.apk
2025-06-15 03:44
85K
viewnior-lang-1.8-r1.apk
2024-10-25 20:58
85K
dbus-broker-37-r0.apk
2025-06-17 10:18
85K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-21 22:42
85K
cpp-httplib-0.28.0-r0.apk
2025-11-26 13:46
85K
firehol-3.1.7-r2.apk
2024-10-25 20:47
84K
php82-mysqlnd-8.2.30-r1.apk
2025-12-17 20:31
84K
perl-net-idn-encode-2.500-r2.apk
2025-06-30 09:24
84K
php81-mysqlnd-8.1.34-r0.apk
2025-12-17 02:37
84K
horizon-tools-0.9.6-r9.apk
2024-10-25 20:48
84K
ledmon-1.0.0-r0.apk
2024-10-25 20:49
84K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 20:54
84K
py3-asyauth-0.0.23-r0.apk
2025-11-04 15:23
84K
errands-46.2.9-r0.apk
2025-10-23 08:14
84K
youtube-viewer-3.11.6-r0.apk
2025-06-09 05:05
84K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:57
83K
lomiri-action-api-1.2.1-r0.apk
2025-10-25 20:20
83K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 02:28
83K
thefuck-3.32-r5.apk
2024-10-25 20:57
83K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
opkg-libs-0.7.0-r0.apk
2024-10-25 20:53
83K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 20:53
83K
py3-winacl-0.1.9-r1.apk
2025-05-29 12:09
82K
wlroots0.18-dev-0.18.3-r0.apk
2025-11-28 23:54
82K
perl-pango-doc-1.227-r12.apk
2025-06-30 09:24
82K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-14 14:57
82K
getssl-2.48-r0.apk
2024-10-25 20:47
82K
silc-client-doc-1.1.11-r18.apk
2025-06-30 09:24
82K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 20:57
82K
gupnp-av-0.14.4-r1.apk
2025-08-09 12:15
82K
py3-fastavro-pyc-1.12.1-r0.apk
2025-10-14 01:59
82K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-10-03 08:44
82K
ccze-0.2.1-r1.apk
2024-10-25 20:45
81K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 20:54
81K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 20:53
81K
libeantic-2.1.0-r2.apk
2025-11-26 08:43
81K
mimedefang-doc-3.6-r1.apk
2025-10-06 01:17
81K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 20:47
81K
perl-pango-1.227-r12.apk
2025-06-30 09:24
80K
lxappearance-lang-0.6.3-r3.apk
2024-10-25 20:49
80K
vit-2.3.2-r1.apk
2024-10-25 20:58
80K
psftools-dev-1.1.2-r0.apk
2024-10-25 20:53
80K
irccd-doc-4.0.3-r0.apk
2024-10-25 20:48
80K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 20:48
80K
cpplint-2.0.2-r0.apk
2025-04-14 00:18
80K
reprotest-0.7.32-r0.apk
2025-11-04 15:23
80K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-06-30 09:24
80K
libwbxml-0.11.8-r0.apk
2024-10-25 20:49
80K
sdl3_image-3.2.4-r0.apk
2025-03-24 20:40
80K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-20 23:48
80K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 17:15
79K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 20:54
79K
py3-apt-lang-3.1.0-r0.apk
2025-12-21 03:53
79K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 17:33
79K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 20:45
79K
purple-facebook-0.9.6-r0.apk
2024-10-25 20:53
79K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 20:54
79K
libgivaro-4.2.0-r2.apk
2024-10-25 20:49
79K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 20:53
78K
surfraw-2.3.0-r0.apk
2024-10-25 20:57
78K
py3-solidpython-1.1.2-r2.apk
2024-10-25 20:54
78K
p0f-3.09b-r3.apk
2024-10-25 20:53
78K
libiscsi-static-1.19.0-r2.apk
2024-10-25 20:49
78K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 20:54
78K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:20
78K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:20
78K
py3-pyparted-3.13.0-r1.apk
2024-10-25 20:54
78K
fplll-dev-5.5.0-r0.apk
2024-11-17 04:09
78K
mxclient-0_git20211002-r1.apk
2024-10-25 20:51
78K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:46
78K
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 20:54
78K
ustr-debug-1.0.4-r1.apk
2024-10-25 20:58
77K
gammastep-lang-2.0.9-r3.apk
2024-10-25 20:47
77K
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
77K
nb-doc-7.19.1-r0.apk
2025-05-24 22:12
77K
gamemode-1.8.2-r0.apk
2025-02-05 23:41
77K
wlroots0.17-dev-0.17.4-r3.apk
2025-08-12 22:42
77K
cln-doc-1.3.7-r1.apk
2025-05-25 07:56
77K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 16:28
77K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 20:53
77K
csfml-dev-2.5.2-r0.apk
2024-10-25 20:46
77K
monetdb-dev-11.33.11-r4.apk
2024-10-25 20:51
77K
py3-hishel-0.1.4-r0.apk
2025-10-15 12:50
77K
libabigail-doc-2.8-r0.apk
2025-11-04 15:23
77K
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 13:13
76K
spiped-1.6.4-r0.apk
2025-12-04 22:25
76K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 20:54
76K
py3-doit-0.36.0-r5.apk
2024-10-25 20:53
76K
screenkey-1.5-r7.apk
2025-07-22 20:46
76K
viewnior-1.8-r1.apk
2024-10-25 20:58
76K
xsecurelock-1.9.0-r1.apk
2024-10-25 20:58
75K
php82-opcache-8.2.30-r1.apk
2025-12-17 20:31
75K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 20:49
75K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 20:54
75K
perl-io-lambda-1.34-r0.apk
2024-10-25 20:53
75K
ffms2-5.0-r2.apk
2025-10-03 08:43
75K
highfive-2.10.1-r0.apk
2025-01-15 02:51
75K
elementary-music-8.0.0-r0.apk
2024-10-28 22:07
75K
perl-snmp-5.0404-r14.apk
2025-06-30 09:24
75K
mkosi-doc-26-r0.apk
2025-12-17 18:30
75K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-04 15:23
75K
perl-encode-detect-1.01-r1.apk
2025-06-30 09:24
75K
py3-minio-7.2.18-r0.apk
2025-11-10 03:20
75K
pypy-dev-7.3.19-r0.apk
2025-11-04 15:23
74K
pympress-doc-1.8.6-r0.apk
2025-11-10 05:30
74K
flowd-0.9.1-r11.apk
2025-06-30 09:24
74K
ocaml-sha-1.15.4-r0.apk
2024-10-25 20:52
74K
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 21:09
74K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:34
74K
php82-openssl-8.2.30-r1.apk
2025-12-17 20:31
74K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-06-15 03:44
74K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 20:54
74K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 20:54
74K
serialdv-libs-1.1.5-r0.apk
2025-10-24 20:26
73K
topgit-doc-0.19.13-r1.apk
2024-10-25 20:57
73K
php81-opcache-8.1.34-r0.apk
2025-12-17 02:37
73K
yaru-theme-hdpi-25.10.1-r0.apk
2025-07-13 19:49
73K
php82-doc-8.2.30-r1.apk
2025-12-17 20:31
73K
libneo4j-client-2.2.0-r3.apk
2024-10-25 20:49
73K
screenkey-pyc-1.5-r7.apk
2025-07-22 20:46
73K
afew-3.0.1-r0.apk
2025-05-18 22:33
73K
fastd-23-r0.apk
2025-01-27 21:33
73K
errands-lang-46.2.9-r0.apk
2025-10-23 08:14
73K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 20:57
73K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 20:54
73K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 20:53
72K
php81-openssl-8.1.34-r0.apk
2025-12-17 02:37
72K
gupnp-dlna-0.12.0-r1.apk
2025-08-09 12:15
72K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:05
72K
normaliz-dev-3.10.4-r3.apk
2025-11-26 08:43
72K
elementary-calculator-8.0.1-r0.apk
2025-09-02 02:30
72K
b2-tools-4.3.2-r0.apk
2025-05-04 02:50
72K
hypnotix-lang-3.5-r0.apk
2024-10-25 20:48
72K
smassh-3.1.6-r0.apk
2024-11-21 02:57
72K
idesk-1-r1.apk
2024-10-25 20:48
72K
py3-apio-0.9.5-r0.apk
2024-10-25 20:53
72K
openfire-plugins-4.8.1-r1.apk
2024-12-03 14:44
72K
ckb-next-daemon-0.6.2-r1.apk
2025-09-06 17:16
72K
log4cpp-1.1.4-r1.apk
2024-10-25 20:49
72K
py3-latex2mathml-3.78.1-r1.apk
2025-10-03 08:45
72K
libqtdbusmock-0.9.1-r2.apk
2025-02-18 22:21
72K
sloccount-2.26-r3.apk
2024-10-25 20:56
72K
fildesh-0.2.0-r0.apk
2024-10-25 20:47
72K
tmate-doc-2.4.0-r4.apk
2024-10-25 20:57
71K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 18:53
71K
66-tools-0.1.2.0-r0.apk
2025-06-01 15:45
71K
dnsperf-2.14.0-r0.apk
2024-10-25 20:46
71K
ocaml-mew-0.1.0-r3.apk
2024-10-25 20:51
71K
twemproxy-0.5.0-r0.apk
2024-10-25 20:58
71K
opendht-dev-3.1.11-r0.apk
2025-01-27 20:57
71K
dfl-applications-0.3.0-r0.apk
2025-08-21 08:05
71K
gnucobol-doc-3.2-r0.apk
2025-07-28 14:02
71K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 20:58
70K
getmail6-6.19.10-r0.apk
2025-08-19 11:29
70K
piper-phonemize-libs-2023.11.14.4-r9.apk
2025-07-12 16:34
70K
py3-irc-pyc-20.4.1-r1.apk
2025-10-06 06:09
70K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:57
70K
py3-hg-git-1.1.1-r1.apk
2024-10-25 20:54
70K
policycoreutils-3.6-r1.apk
2024-10-25 20:53
70K
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-13 16:04
70K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:46
70K
hfst-doc-3.16.2-r0.apk
2025-03-28 16:14
69K
libvalkey-0.2.1-r0.apk
2025-11-17 11:56
69K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 20:54
69K
charls-2.4.2-r0.apk
2024-10-25 20:46
69K
debconf-1.5.82-r0.apk
2024-10-25 20:46
69K
horizon-image-0.9.6-r9.apk
2024-10-25 20:48
69K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 20:52
69K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 20:53
69K
hping3-20051105-r4.apk
2024-10-25 20:48
69K
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-09 04:09
69K
xsoldier-1.8-r2.apk
2024-10-25 20:58
69K
php82-ffi-8.2.30-r1.apk
2025-12-17 20:31
69K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 20:45
68K
pqiv-2.12-r1.apk
2024-10-25 20:53
68K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 13:37
68K
aravis-viewer-0.8.31-r0.apk
2024-10-25 20:45
68K
fuzzylite-dev-6.0-r2.apk
2025-01-31 21:54
68K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 20:45
68K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:43
68K
php81-doc-8.1.34-r0.apk
2025-12-17 02:37
68K
py3-ncclient-0.6.13-r5.apk
2024-10-25 20:54
68K
ginac-dev-1.8.9-r0.apk
2025-05-25 07:56
68K
py3-pystache-0.6.5-r1.apk
2024-10-25 20:54
68K
endeavour-doc-43.0-r2.apk
2024-12-08 21:45
68K
arc-cinnamon-20221218-r0.apk
2024-10-25 20:45
68K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 12:33
68K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 20:53
68K
gnome-user-share-lang-48.2-r0.apk
2025-11-23 10:54
68K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-12 15:43
68K
linux-gpib-4.3.7-r0.apk
2025-12-07 00:00
68K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:10
67K
libxml++-5.4.0-r0.apk
2025-02-13 07:48
67K
evolution-etesync-1.1.1-r0.apk
2025-10-03 08:43
67K
clipit-1.4.5-r3.apk
2024-10-25 20:46
67K
heisenbridge-1.15.4-r0.apk
2025-10-06 05:07
67K
php81-ffi-8.1.34-r0.apk
2025-12-17 02:37
67K
tinyscheme-1.42-r1.apk
2024-10-25 20:57
67K
nvtop-3.2.0-r0.apk
2025-04-29 23:40
66K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:42
66K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 11:58
66K
avarice-2.14-r4.apk
2024-10-25 20:45
66K
zutty-doc-0.16-r0.apk
2025-01-12 21:01
66K
vim-nerdtree-7.1.3-r0.apk
2025-03-04 21:01
66K
femto-2.24.1-r0.apk
2025-09-06 17:16
66K
wayfarer-1.4.0-r0.apk
2025-11-05 18:53
66K
jbigkit-2.1-r2.apk
2024-10-25 20:48
66K
rosdep-0.19.0-r6.apk
2024-10-25 20:54
66K
swaks-20240103.0-r0.apk
2024-10-25 20:57
66K
shine-3.1.1-r0.apk
2024-10-25 20:56
66K
htmlcxx-0.87-r1.apk
2024-10-25 20:48
66K
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 15:22
66K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
pebble-le-0.3.0-r2.apk
2024-12-14 21:36
66K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 20:53
65K
gf2x-dev-1.3.0-r1.apk
2024-10-25 20:47
65K
ueberzug-18.3.1-r0.apk
2025-02-22 11:09
65K
git-extras-doc-7.4.0-r0.apk
2025-07-22 20:36
65K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 20:45
65K
php82-dom-8.2.30-r1.apk
2025-12-17 20:31
65K
mpdcron-dev-0.3-r1.apk
2024-10-25 20:51
65K
glfw-wayland-3.3.8-r3.apk
2024-10-25 20:47
65K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 20:54
65K
gufw-pyc-24.04-r3.apk
2024-11-19 22:14
64K
perl-net-curl-0.57-r1.apk
2025-06-30 09:24
64K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-08 23:23
64K
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 14:19
64K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 20:54
64K
sthttpd-2.27.1-r2.apk
2024-10-25 20:56
64K
ffmpeg4-libpostproc-4.4.5-r4.apk
2025-10-19 09:50
64K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-14 17:29
64K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 20:51
64K
php82-pecl-ds-1.6.0-r0.apk
2025-10-24 02:16
64K
megatools-1.11.5.20250706-r0.apk
2025-07-30 17:06
64K
libsirocco-2.1.1-r0.apk
2025-08-31 17:49
64K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 11:09
64K
9base-doc-6-r2.apk
2024-10-25 20:45
63K
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 13:17
63K
masky-pyc-0.2.0-r2.apk
2025-05-29 12:09
63K
py3-minidump-0.0.24-r1.apk
2025-05-29 12:09
63K
php81-dom-8.1.34-r0.apk
2025-12-17 02:37
63K
dfl-sni-0.3.0-r0.apk
2025-08-21 08:05
63K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 20:54
63K
materia-gtk3-20210322-r3.apk
2025-07-10 16:38
63K
libofx-0.10.9-r1.apk
2024-10-25 20:49
63K
materia-compact-gtk3-20210322-r3.apk
2025-07-10 16:38
63K
py3-sssd-2.11.1-r2.apk
2025-11-20 08:42
63K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:46
63K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 20:46
62K
libiscsi-1.19.0-r2.apk
2024-10-25 20:49
62K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 20:54
62K
py3-colander-2.0-r2.apk
2024-10-25 20:53
62K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 20:54
62K
rtl-power-fftw-20200601-r4.apk
2024-10-25 20:54
62K
sshuttle-1.1.2-r0.apk
2024-10-25 20:56
62K
py3-socketio-5.13.0-r0.apk
2025-09-06 17:18
62K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 20:46
62K
font-tamzen-1.11.5-r1.apk
2024-10-25 20:47
62K
clevis-dbg-21-r0.apk
2025-01-20 04:17
62K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 20:45
61K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 20:54
61K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 20:46
61K
notification-daemon-3.20.0-r1.apk
2025-07-01 23:20
61K
formiko-pyc-1.5.0-r0.apk
2025-08-15 09:06
61K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-23 23:12
61K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 20:47
61K
py3-clang-next-pyc-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
61K
py3-nikola-doc-8.3.3-r0.apk
2025-10-11 10:48
61K
kabmat-2.7.0-r0.apk
2024-10-25 20:48
61K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 20:54
61K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 20:45
61K
portsmf-239-r2.apk
2025-10-13 20:03
61K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-07 09:40
61K
libantlr3c-3.4-r3.apk
2024-10-25 20:49
60K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 20:51
60K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 20:53
60K
openscap-daemon-0.1.10-r9.apk
2024-10-25 20:52
60K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
fabric-pyc-3.2.2-r1.apk
2024-10-25 20:47
60K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 20:49
60K
cvise-pyc-2.11.0-r0.apk
2025-03-08 13:15
59K
scenefx-0.4.1-r0.apk
2025-12-21 03:53
59K
perl-astro-montenbruck-doc-1.26-r0.apk
2025-07-21 08:48
59K
fdm-materials-5.2.2-r1.apk
2024-10-25 20:47
59K
psftools-doc-1.1.2-r0.apk
2024-10-25 20:53
59K
sloccount-doc-2.26-r3.apk
2024-10-25 20:56
59K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 02:30
59K
perl-libapreq2-dev-2.17-r3.apk
2025-06-30 09:24
59K
shntool-3.0.10-r5.apk
2025-02-24 21:25
59K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 20:58
59K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-10-03 08:45
59K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-10-03 08:45
59K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 20:54
59K
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 20:57
59K
plplot-dev-5.15.0-r2.apk
2024-10-25 20:53
59K
decoder-lang-0.7.0-r0.apk
2025-04-10 13:25
59K
py3-astral-pyc-3.2-r3.apk
2024-10-25 20:53
59K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
2025-10-11 11:00
59K
ustr-1.0.4-r1.apk
2024-10-25 20:58
59K
pympress-lang-1.8.6-r0.apk
2025-11-10 05:30
59K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 20:58
59K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 20:45
58K
py3-unicrypto-0.0.12-r0.apk
2025-11-04 15:23
58K
apt-dater-1.0.4-r4.apk
2024-10-25 20:45
58K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 20:54
58K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
libantlr3c-dev-3.4-r3.apk
2024-10-25 20:49
58K
ovos-core-pyc-2.1.0-r0.apk
2025-11-04 15:23
58K
sbase-doc-0_git20210730-r3.apk
2024-10-25 20:56
58K
perl-json-validator-5.15-r0.apk
2025-03-19 13:45
58K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 20:47
58K
clevis-21-r0.apk
2025-01-20 04:17
58K
flightgear-doc-2024.1.1-r0.apk
2025-03-05 00:21
58K
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 20:54
58K
kfc-0.1.4-r0.apk
2024-10-25 20:49
58K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 20:58
57K
xone-src-0.5.1-r0.apk
2025-12-22 16:41
57K
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 12:54
57K
drupal7-doc-7.103-r0.apk
2024-12-05 11:04
57K
libmpfi-static-1.5.4-r2.apk
2024-10-25 20:49
57K
tinygltf-dev-2.9.7-r0.apk
2025-11-04 15:23
57K
liquibase-doc-4.9.1-r0.apk
2024-10-25 20:49
57K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 20:53
57K
git-extras-7.4.0-r0.apk
2025-07-22 20:36
57K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 20:49
57K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 20:56
57K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:55
57K
plfit-1.0.1-r0.apk
2025-01-04 03:48
56K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:55
56K
py3-dnslib-0.9.26-r0.apk
2025-09-01 18:15
56K
py3-scour-0.38.2-r1.apk
2024-10-25 20:54
56K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 20:54
56K
libucl-0.9.0-r0.apk
2024-10-25 20:49
56K
varnish-modules-0.26.0-r0.apk
2025-07-06 19:18
56K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 20:54
56K
fplll-5.5.0-r0.apk
2024-11-17 04:09
56K
pixiewps-1.4.2-r2.apk
2025-05-14 17:30
56K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-03-29 08:34
56K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 20:53
56K
khronos-4.0.1-r0.apk
2024-10-25 20:49
55K
libiio-0.25-r2.apk
2024-10-25 20:49
55K
openwsman-dev-2.8.1-r1.apk
2025-06-30 09:24
55K
perl-cgi-simple-1.282-r0.apk
2025-08-30 04:20
55K
gradia-lang-1.9.0-r0.apk
2025-09-06 17:17
55K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:17
55K
backup-manager-0.7.15-r1.apk
2024-10-25 20:45
55K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 20:46
55K
rkdeveloptool-1.1.0-r2.apk
2025-11-26 20:57
55K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 20:54
55K
mint-y-theme-metacity-2.3.2-r0.apk
2025-11-14 22:59
55K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:14
55K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 20:51
55K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 20:52
55K
fabric-3.2.2-r1.apk
2024-10-25 20:47
54K
mat2-pyc-0.13.5-r0.apk
2025-10-03 08:44
54K
metalang99-1.13.3-r0.apk
2024-10-25 20:50
54K
php82-pecl-amqp-2.1.2-r0.apk
2025-10-24 02:16
54K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 20:53
54K
epoch-1.3.0-r2.apk
2024-10-25 20:47
54K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 20:54
54K
py3-joserfc-1.5.0-r0.apk
2025-12-04 22:24
54K
perl-catalyst-devel-1.42-r0.apk
2025-03-20 16:06
54K
gkrellm-server-2.3.11-r0.apk
2025-01-08 22:37
54K
mcjoin-doc-2.11-r0.apk
2024-10-25 20:50
54K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 13:37
54K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 06:27
54K
pimd-dense-2.1.0-r0.apk
2024-10-25 20:53
54K
ffmpeg4-libavdevice-4.4.5-r4.apk
2025-10-19 09:50
54K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:54
54K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 20:54
54K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 20:45
54K
freediameter-dev-1.5.0-r1.apk
2024-10-25 20:47
54K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 20:53
53K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 20:54
53K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 12:54
53K
perl-astro-montenbruck-1.26-r0.apk
2025-07-21 08:48
53K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:43
53K
py3-wstools-0.4.10-r7.apk
2024-10-25 20:54
53K
createrepo_c-1.1.4-r0.apk
2024-10-25 20:46
53K
timew-doc-1.4.3-r1.apk
2024-10-25 20:57
53K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:57
53K
recoll-dev-1.37.5-r1.apk
2024-10-25 20:54
53K
py3-pyatem-0.5.0-r4.apk
2024-10-25 20:54
53K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 20:45
53K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 20:47
53K
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
52K
perl-astro-coords-0.23-r0.apk
2025-10-08 22:26
52K
tremc-0.9.4-r0.apk
2025-07-16 04:17
52K
jalv-1.6.8-r1.apk
2024-10-25 20:48
52K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:20
52K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 20:54
52K
libupstart-2.0.3-r5.apk
2024-10-25 20:49
52K
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-09 11:13
52K
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 17:06
52K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 20:54
52K
php82-pecl-event-3.1.4-r0.apk
2025-10-24 02:16
51K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:43
51K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 20:54
51K
sopwith-2.5.0-r0.apk
2024-10-25 20:56
51K
lcalc-dev-2.1.0-r0.apk
2025-05-04 02:50
51K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 20:53
51K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 20:53
51K
levmar-dev-2.6-r0.apk
2024-10-25 20:49
51K
py3-lxmf-0.8.0-r0.apk
2025-10-03 08:45
51K
waynergy-0.0.17-r1.apk
2025-09-12 22:24
51K
perl-data-dump-streamer-2.42-r1.apk
2025-06-30 09:24
50K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 20:54
50K
perl-minion-doc-11.0-r0.apk
2025-08-27 09:26
50K
py3-mss-10.0.0-r0.apk
2024-11-14 12:57
50K
py3-unicorn-2.1.4-r0.apk
2025-10-14 14:04
50K
perl-devel-nytprof-doc-6.14-r1.apk
2025-06-30 09:24
50K
castero-0.9.5-r4.apk
2025-05-14 18:20
50K
s-postgray-0.8.3-r0.apk
2024-10-25 20:54
50K
plfit-static-1.0.1-r0.apk
2025-01-04 03:48
50K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:54
50K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 20:50
50K
gupnp-dev-1.6.9-r1.apk
2025-08-09 12:15
50K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
50K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 20:53
50K
lynis-doc-3.1.4-r0.apk
2025-07-29 08:16
50K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:20
50K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:35
50K
dfl-ipc-0.3.0-r0.apk
2025-08-21 08:05
50K
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-09 04:09
50K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 05:33
50K
py3-requests-cache-1.2.1-r1.apk
2024-11-18 08:47
50K
cvs-fast-export-1.65-r0.apk
2024-10-25 20:46
50K
fungw-perl-1.2.2-r0.apk
2025-10-03 08:43
50K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 03:44
50K
qadwaitadecorations-0.1.7-r1.apk
2025-10-05 09:53
50K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 20:53
50K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:59
49K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 13:37
49K
swaks-doc-20240103.0-r0.apk
2024-10-25 20:57
49K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:45
49K
aravis-0.8.31-r0.apk
2024-10-25 20:45
49K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 20:54
49K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-14 15:07
49K
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-09 04:09
49K
lavacli-2.4-r0.apk
2025-06-15 03:44
49K
cava-0.10.6-r0.apk
2025-09-12 08:21
49K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 01:44
49K
metadata-cleaner-2.5.6-r0.apk
2025-01-31 15:22
49K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:45
49K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 20:54
49K
ovos-core-2.1.0-r0.apk
2025-11-04 15:23
49K
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-02 00:40
49K
openwsman-2.8.1-r1.apk
2025-06-30 09:24
49K
somebar-1.0.3-r0.apk
2024-10-25 20:56
49K
perl-test-unit-doc-0.29-r0.apk
2025-12-09 09:01
49K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 11:58
49K
parcellite-lang-1.2.5-r0.apk
2024-10-25 20:53
49K
py3-engineio-4.12.3-r0.apk
2025-11-27 09:36
48K
py3-pycosat-0.6.6-r2.apk
2024-10-25 20:54
48K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 20:49
48K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 20:53
48K
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 08:42
48K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 20:45
48K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 20:45
48K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-04 15:23
48K
i2util-dev-4.2.1-r1.apk
2024-10-25 20:48
48K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 20:54
48K
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 15:39
48K
perl-astro-telescope-0.71-r0.apk
2025-07-21 08:48
48K
gssdp-1.6.4-r1.apk
2025-08-09 12:15
48K
spread-sheet-widget-0.10-r0.apk
2024-10-25 20:56
48K
watchdog-5.16-r2.apk
2024-10-25 20:58
48K
goomwwm-1.0.0-r5.apk
2024-10-25 20:48
48K
py3-rtree-pyc-1.4.1-r0.apk
2025-08-14 10:21
48K
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-09 04:09
48K
identme-0.6.0-r0.apk
2025-04-03 12:34
48K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 20:46
48K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 20:46
48K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 04:09
47K
pasystray-0.8.2-r0.apk
2024-10-25 20:53
47K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 20:53
47K
elementary-feedback-8.1.0-r0.apk
2025-11-22 15:39
47K
startup-doc-2.0.3-r5.apk
2024-10-25 20:56
47K
py3-marshmallow-3.26.1-r0.apk
2025-02-21 22:42
47K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-24 02:16
47K
femto-doc-2.24.1-r0.apk
2025-09-06 17:16
47K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 20:53
47K
uxn-1.0-r0.apk
2024-10-25 20:58
47K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:07
47K
py3-distorm3-3.5.2-r6.apk
2024-10-25 20:53
47K
lomiri-content-hub-lang-2.2.1-r0.apk
2025-12-09 11:12
47K
solanum-lang-6.0.0-r0.apk
2025-04-10 13:01
47K
ffmpeg4-libswresample-4.4.5-r4.apk
2025-10-19 09:50
47K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 20:54
47K
py3-soappy-0.52.30-r0.apk
2024-12-02 23:03
47K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-markdown2-2.5.0-r0.apk
2024-10-25 20:54
47K
php81-pecl-memcached-3.4.0-r0.apk
2025-10-13 08:38
47K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 20:54
47K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 20:54
47K
gl2ps-static-1.4.2-r0.apk
2025-10-12 21:32
47K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 16:40
47K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 20:54
47K
primesieve-12.10-r0.apk
2025-11-25 19:14
47K
pikchr-cmd-1.0.0-r0.apk
2025-10-25 09:58
47K
py3-gls-1.3.1-r1.apk
2024-10-25 20:54
47K
acmetool-doc-0.2.2-r18.apk
2025-12-04 22:22
46K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:15
46K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 09:32
46K
fusesoc-2.3-r0.apk
2024-10-25 20:47
46K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-19 13:45
46K
php82-pgsql-8.2.30-r1.apk
2025-12-17 20:31
46K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 20:54
46K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 20:53
46K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 01:01
46K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 20:46
46K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 20:54
46K
dooit-3.3.3-r0.apk
2025-10-27 08:52
46K
llvm-next-libgcc-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
46K
libbsoncxx-3.8.0-r0.apk
2024-10-25 20:49
46K
wlr-sunclock-1.2.1-r0.apk
2025-12-01 14:09
46K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-14 17:29
46K
php81-pgsql-8.1.34-r0.apk
2025-12-17 02:37
45K
gtkhash-lang-1.5-r0.apk
2025-03-10 19:32
45K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 20:53
45K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 20:47
45K
endeavour-dev-43.0-r2.apk
2024-12-08 21:45
45K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 20:54
45K
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:57
45K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 20:49
45K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 20:54
45K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 20:54
45K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 14:15
45K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 20:54
45K
liberasurecode-1.6.3-r1.apk
2024-10-25 20:49
45K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 20:47
45K
geoclue-stumbler-1.1-r0.apk
2025-10-04 17:04
45K
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-09 11:12
45K
ubase-20200605-r3.apk
2024-10-25 20:58
45K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 20:52
45K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 20:54
45K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-09 12:15
45K
pantalaimon-0.10.5-r4.apk
2024-10-25 20:53
45K
cartero-lang-0.2.2-r0.apk
2025-10-12 23:56
45K
malcontent-doc-0.13.1-r0.apk
2025-09-03 21:56
44K
clang-next-rtlib-scudo-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
44K
py3-mistletoe-1.4.0-r0.apk
2025-03-19 13:45
44K
capnet-assist-8.0.0-r0.apk
2025-04-14 10:08
44K
php82-pdo-8.2.30-r1.apk
2025-12-17 20:31
44K
apulse-0.1.14-r0.apk
2025-09-06 17:16
44K
py3-shodan-1.31.0-r1.apk
2024-10-25 20:54
44K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-04 15:23
44K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 21:36
44K
perl-time-moment-0.46-r0.apk
2025-12-04 22:54
44K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-25 22:24
44K
normaliz-3.10.4-r3.apk
2025-11-26 08:43
44K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 20:54
44K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 20:54
43K
imediff-pyc-2.6-r1.apk
2024-10-25 20:48
43K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-14 17:29
43K
ecos-2.0.10-r0.apk
2024-10-25 20:46
43K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 20:53
43K
spreadtrum_flash-1.20240815-r0.apk
2025-05-08 12:40
43K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-14 17:29
43K
darts-clone-0.32h-r0.apk
2025-07-06 07:10
43K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 20:54
43K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 20:54
43K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 20:46
43K
php82-pecl-memcache-8.2-r2.apk
2025-10-24 02:16
43K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 20:53
43K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 20:53
43K
volumeicon-0.5.1-r1.apk
2024-10-25 20:58
43K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 20:44
43K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 20:53
43K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:42
43K
imapfilter-2.8.2-r0.apk
2024-10-25 20:48
43K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 20:54
43K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 04:20
42K
materia-gtk4-20210322-r3.apk
2025-07-10 16:38
42K
rygel-dev-45.0-r1.apk
2025-11-18 18:34
42K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 11:58
42K
php85-pecl-ev-1.2.2-r0.apk
2025-11-07 17:26
42K
php84-pecl-ev-1.2.2-r0.apk
2025-11-07 17:26
42K
php83-pecl-ev-1.2.2-r0.apk
2025-11-07 17:26
42K
materia-compact-gtk4-20210322-r3.apk
2025-07-10 16:38
42K
php81-pdo-8.1.34-r0.apk
2025-12-17 02:37
42K
py3-colander-pyc-2.0-r2.apk
2024-10-25 20:53
42K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 20:48
42K
nuklear-doc-4.12.0-r0.apk
2024-10-25 20:51
42K
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 18:56
42K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 20:57
42K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 20:54
42K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:54
42K
sstp-client-1.0.20-r3.apk
2025-10-24 02:38
42K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 20:47
42K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 20:54
42K
gf2x-1.3.0-r1.apk
2024-10-25 20:47
42K
peg-0.1.18-r1.apk
2024-10-25 20:53
42K
libqofono-dev-0.124-r0.apk
2025-01-10 12:05
42K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 08:56
42K
php82-mysqli-8.2.30-r1.apk
2025-12-17 20:31
42K
plfit-libs-1.0.1-r0.apk
2025-01-04 03:48
42K
hub-doc-2.14.2-r36.apk
2025-12-04 22:24
42K
azpainter-doc-3.0.11-r0.apk
2025-02-22 11:10
42K
ffmpeg4-4.4.5-r4.apk
2025-10-19 09:50
42K
litehtml-dev-0.9-r2.apk
2025-02-18 22:21
41K
imediff-2.6-r1.apk
2024-10-25 20:48
41K
gupnp-av-dev-0.14.4-r1.apk
2025-08-09 12:15
41K
mimeo-pyc-2023-r2.apk
2024-10-25 20:50
41K
openfortivpn-1.22.1-r0.apk
2024-12-11 21:38
41K
wbg-1.3.0-r1.apk
2025-11-04 15:23
41K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:05
41K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 20:13
41K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 20:49
41K
yices2-dev-2.6.5-r0.apk
2025-03-02 23:06
41K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 08:53
41K
raku-distribution-builder-makefromjson-0.6-r1.apk
2025-08-29 08:25
41K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:04
41K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-18 00:03
41K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:53
41K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:45
41K
php81-mysqli-8.1.34-r0.apk
2025-12-17 02:37
41K
py3-piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:34
41K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:53
41K
cobang-1.8.1-r0.apk
2025-10-23 05:12
41K
wput-0.6.2-r4.apk
2024-10-25 20:58
41K
sflowtool-6.02-r0.apk
2024-10-25 20:56
41K
py3-unearth-0.18.0-r0.apk
2025-10-14 14:57
40K
avra-1.4.2-r0.apk
2024-10-25 20:45
40K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 20:54
40K
libmpfi-1.5.4-r2.apk
2024-10-25 20:49
40K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 19:55
40K
sblg-0.5.11-r0.apk
2024-10-25 20:56
40K
extundelete-0.2.4-r1.apk
2024-10-25 20:47
40K
perl-x11-korgwm-5.0-r0.apk
2025-12-13 07:35
40K
youtube-viewer-doc-3.11.6-r0.apk
2025-06-09 05:05
40K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 20:49
40K
bakelite-0.4.2-r0.apk
2024-10-25 20:45
40K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 20:54
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:56
40K
perl-astro-coords-doc-0.23-r0.apk
2025-10-08 22:26
40K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 20:54
40K
py3-pyzor-1.1.2-r0.apk
2025-08-14 06:27
40K
libserialport-dev-0.1.1-r1.apk
2024-10-25 20:49
40K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:18
40K
peervpn-0.044-r5.apk
2024-10-25 20:53
40K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 11:58
40K
py3-feedgen-1.0.0-r1.apk
2024-10-25 20:54
40K
csol-1.6.0-r0.apk
2024-10-25 20:46
40K
py3-irc-20.4.1-r1.apk
2025-10-06 06:09
40K
gmenuharness-0.1.4-r2.apk
2025-02-18 22:21
40K
rtptools-1.22-r2.apk
2024-10-25 20:54
40K
sigrok-cli-0.7.2-r0.apk
2024-10-25 20:56
40K
py3-fpdf-1.7.2-r5.apk
2024-10-25 20:54
39K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 20:53
39K
materia-dark-gtk3-20210322-r3.apk
2025-07-10 16:38
39K
materia-dark-compact-gtk3-20210322-r3.apk
2025-07-10 16:38
39K
repo-doc-2.60-r0.apk
2025-12-12 19:50
39K
gl2ps-1.4.2-r0.apk
2025-10-12 21:32
39K
phosh-tour-0.50.0-r2.apk
2025-12-15 13:15
39K
cocogitto-doc-6.5.0-r0.apk
2025-11-04 15:22
39K
perl-net-curl-doc-0.57-r1.apk
2025-06-30 09:24
39K
py3-rpio-0.10.1-r8.apk
2024-10-25 20:54
39K
turnstile-0.1.10-r3.apk
2024-10-25 20:58
39K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 15:45
39K
py3-manuel-1.13.0-r1.apk
2025-10-14 15:07
39K
py3-empy-3.3.4-r7.apk
2024-10-25 20:54
39K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 20:46
39K
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 20:53
39K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-14 17:30
39K
perl-math-random-0.75-r0.apk
2025-10-03 08:45
39K
theme.sh-1.1.5-r0.apk
2024-10-25 20:57
39K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 11:40
39K
alttab-1.7.1-r0.apk
2024-10-25 20:45
39K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 20:49
39K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 03:44
39K
php82-curl-8.2.30-r1.apk
2025-12-17 20:31
39K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 20:54
38K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 20:49
38K
nfoview-2.1-r0.apk
2025-04-13 10:30
38K
libtcmu-1.6.0-r6.apk
2024-10-25 20:49
38K
py3-zope-configuration-7.0-r0.apk
2025-12-02 00:40
38K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 20:47
38K
libctl-dev-4.5.1-r1.apk
2024-10-25 20:49
38K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 20:45
38K
py3-python-iptables-1.2.0-r1.apk
2025-12-12 15:43
38K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 20:58
38K
ecasound-doc-2.9.3-r4.apk
2025-02-24 21:25
38K
linuxptp-doc-4.4-r0.apk
2024-11-17 17:33
38K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:05
38K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 20:54
38K
php82-session-8.2.30-r1.apk
2025-12-17 20:31
38K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 20:45
38K
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-10-03 08:44
38K
ubus-2025.10.17-r0.apk
2025-10-25 13:01
38K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 20:54
38K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 17:33
38K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 10:10
38K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-24 02:16
38K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 20:53
38K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-06-30 09:24
38K
moon-buggy-1.0.51-r1.apk
2024-10-25 20:51
38K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
38K
paprefs-lang-1.2-r2.apk
2024-11-22 22:15
38K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:05
38K
py3-sh-2.1.0-r0.apk
2024-11-01 15:14
38K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:06
37K
php82-sockets-8.2.30-r1.apk
2025-12-17 20:31
37K
gcli-doc-2.9.1-r0.apk
2025-11-09 16:40
37K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 20:54
37K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 20:57
37K
php81-session-8.1.34-r0.apk
2025-12-17 02:37
37K
py3-cstruct-pyc-6.1-r0.apk
2025-08-21 23:08
37K
php85-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
37K
symbiyosys-0.36-r0.apk
2024-10-25 20:57
37K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 20:53
37K
libcork-0.15.0-r7.apk
2024-10-25 20:49
37K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 20:45
37K
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-04 15:23
37K
arc-gtk2-20221218-r0.apk
2024-10-25 20:45
37K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 20:53
37K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 20:53
37K
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:29
37K
zycore-dev-1.5.0-r1.apk
2025-07-11 04:51
37K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 17:33
37K
perl-libapreq2-doc-2.17-r3.apk
2025-06-30 09:24
37K
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 10:08
37K
darkradiant-lang-3.9.0-r0.apk
2025-10-03 08:43
37K
kcbench-0.9.13-r0.apk
2025-10-23 20:58
37K
py3-astral-3.2-r3.apk
2024-10-25 20:53
37K
py3-enlighten-1.14.1-r0.apk
2025-08-28 09:32
37K
py3-qasync-0.19.0-r2.apk
2024-10-25 20:54
37K
spnavcfg-1.1-r0.apk
2024-10-25 20:56
37K
php81-sockets-8.1.34-r0.apk
2025-12-17 02:37
37K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 20:46
37K
kanidm-zsh-completion-1.8.5-r0.apk
2025-12-13 18:57
37K
py3-redmine-2.5.0-r0.apk
2024-10-25 20:54
37K
emmylua-ls-doc-0.17.0-r0.apk
2025-12-22 00:15
36K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 20:48
36K
php81-curl-8.1.34-r0.apk
2025-12-17 02:37
36K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 20:54
36K
burp-server-3.1.4-r0.apk
2024-10-25 20:45
36K
libcli-1.10.7-r0.apk
2024-10-25 20:49
36K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 20:54
36K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:17
36K
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:29
36K
ansible-bender-0.10.1-r2.apk
2024-10-25 20:45
36K
dfl-login1-0.3.0-r0.apk
2025-08-21 08:05
36K
lshell-0.9.18-r12.apk
2025-09-06 17:17
36K
gmcapsule-0.9.8-r0.apk
2025-10-07 09:40
36K
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 07:40
36K
perl-datetime-astro-1.04-r0.apk
2025-07-21 08:48
36K
py3-socketio-doc-5.13.0-r0.apk
2025-09-06 17:18
36K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
36K
linuxptp-pmc-4.4-r0.apk
2024-11-17 17:33
36K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
36K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:10
36K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
36K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 20:05
36K
py3-librtmp-0.3.0-r6.apk
2024-10-25 20:54
35K
perl-time-moment-doc-0.46-r0.apk
2025-12-04 22:54
35K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 20:53
35K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-04 15:23
35K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 20:54
35K
razercfg-pyc-0.42-r7.apk
2024-10-25 20:54
35K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
35K
pdfcrack-0.21-r0.apk
2025-11-19 08:10
35K
litterbox-1.9-r2.apk
2025-09-12 22:24
35K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 20:54
35K
perl-test-unit-0.29-r0.apk
2025-12-09 09:01
35K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 20:45
35K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 20:53
35K
mat2-0.13.5-r0.apk
2025-10-03 08:44
35K
perl-ryu-doc-4.001-r0.apk
2024-10-25 20:53
35K
oblibs-0.3.4.0-r0.apk
2025-06-01 15:45
35K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 20:53
35K
py3-mando-pyc-0.8.2-r0.apk
2025-12-18 11:07
35K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 02:26
35K
cliquer-static-1.23-r0.apk
2025-08-12 04:15
35K
srain-lang-1.8.1-r0.apk
2025-06-26 18:43
35K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 20:54
35K
lshell-pyc-0.9.18-r12.apk
2025-09-06 17:17
35K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 20:53
35K
luapak-0.1.0_beta5-r0.apk
2024-10-25 20:49
35K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 20:54
35K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-06 22:31
35K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-07 19:50
35K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 20:46
35K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-10-03 08:45
35K
vcstool-0.3.0-r5.apk
2024-10-25 20:58
35K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:48
35K
dfu-programmer-1.1.0-r0.apk
2024-10-25 20:46
34K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 20:46
34K
jalv-gtk-1.6.8-r1.apk
2024-10-25 20:48
34K
materia-compact-gtk2-20210322-r3.apk
2025-07-10 16:38
34K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 20:56
34K
materia-gtk2-20210322-r3.apk
2025-07-10 16:38
34K
materia-dark-compact-gtk2-20210322-r3.apk
2025-07-10 16:38
34K
materia-dark-gtk2-20210322-r3.apk
2025-07-10 16:38
34K
py3-aesedb-0.1.8-r0.apk
2025-11-04 15:23
34K
foolsm-1.0.21-r0.apk
2024-10-25 20:47
34K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 20:45
34K
aravis-dev-0.8.31-r0.apk
2024-10-25 20:45
34K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 20:54
34K
refine-0.6.3-r0.apk
2025-11-20 23:49
34K
py3-clang-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
34K
lavacli-doc-2.4-r0.apk
2025-06-15 03:44
34K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 22:19
34K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 20:48
34K
py3-trivup-0.12.2-r2.apk
2024-10-25 20:54
34K
bgpq4-1.15-r0.apk
2024-10-25 20:45
34K
trantor-dev-1.5.18-r0.apk
2024-10-25 20:57
34K
bcg729-1.1.1-r1.apk
2025-10-15 08:21
34K
aufs-util-doc-20161219-r3.apk
2024-10-25 20:45
34K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 20:54
34K
libqtdbustest-0.4.0-r0.apk
2025-09-03 21:56
34K
spacenavd-1.2-r0.apk
2024-10-25 20:56
34K
perl-json-validator-doc-5.15-r0.apk
2025-03-19 13:45
34K
lomiri-api-0.2.3-r0.apk
2025-10-25 20:20
34K
php82-imap-8.2.30-r1.apk
2025-12-17 20:31
34K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 20:53
33K
php81-imap-8.1.34-r0.apk
2025-12-17 02:37
33K
py3-xapp-2.4.2-r0.apk
2024-11-12 11:13
33K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
33K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:43
33K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 20:54
33K
py3-limits-3.14.1-r0.apk
2024-12-25 18:53
33K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:40
33K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:38
33K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-19 13:45
33K
py3-engineio-doc-4.12.3-r0.apk
2025-11-27 09:36
33K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 20:20
33K
obnc-doc-0.17.2-r0.apk
2025-05-25 23:39
33K
jhead-3.08-r0.apk
2024-10-25 20:48
33K
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 05:43
33K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 20:52
33K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 20:53
33K
ngs-aws-0.2.14-r0.apk
2024-10-25 20:51
33K
pptpclient-1.10.0-r6.apk
2025-06-30 09:24
33K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 20:46
33K
phosh-tour-lang-0.50.0-r2.apk
2025-12-15 13:15
33K
hddfancontrol-1.6.2-r0.apk
2024-10-25 20:48
33K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 20:53
32K
py3-qt.py-1.3.10-r1.apk
2024-10-25 20:54
32K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
32K
vali-0.1.0-r1.apk
2025-11-30 11:58
32K
rdrview-0.1.3-r0.apk
2025-02-22 19:27
32K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:20
32K
php82-ldap-8.2.30-r1.apk
2025-12-17 20:31
32K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 20:53
32K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 20:54
32K
qperf-0.4.11-r2.apk
2025-05-14 17:30
32K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 20:53
32K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 02:59
32K
py3-lunr-0.6.2-r4.apk
2024-10-25 20:54
32K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:53
32K
perl-expect-1.38-r0.apk
2025-04-19 19:02
32K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-20 11:45
32K
btfs-2.24-r12.apk
2024-10-25 20:45
32K
handlebars-dev-1.0.0-r1.apk
2024-10-25 20:48
32K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-10-03 08:44
32K
py3-yapsy-1.12.2-r7.apk
2024-10-25 20:54
32K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:48
32K
php81-ldap-8.1.34-r0.apk
2025-12-17 02:37
32K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-03-29 08:34
32K
linuxptp-nsm-4.4-r0.apk
2024-11-17 17:33
32K
lomiri-api-dev-0.2.3-r0.apk
2025-10-25 20:20
32K
tcl-curl-7.22.0-r0.apk
2024-10-25 20:57
32K
libmrss-dev-0.19.2-r1.apk
2024-10-25 20:49
32K
py3-c3d-0.5.2-r1.apk
2024-10-25 20:53
32K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 20:54
32K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-24 02:16
32K
libaudec-static-0.3.4-r3.apk
2024-10-25 20:49
32K
perl-astro-0.78-r0.apk
2025-07-21 08:48
32K
numbat-doc-1.16.0-r0.apk
2025-08-19 13:23
32K
perl-file-mmagic-xs-0.09008-r5.apk
2025-06-30 09:24
32K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 20:53
32K
refine-lang-0.6.3-r0.apk
2025-11-20 23:49
32K
libfort-0.4.2-r0.apk
2024-10-25 20:49
32K
kdiskmark-lang-3.2.0-r0.apk
2025-06-30 16:46
32K
primecount-7.20-r0.apk
2025-11-25 19:14
32K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:59
31K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 20:53
31K
mnamer-2.5.5-r1.apk
2024-10-25 20:51
31K
py3-knowit-0.5.11-r0.apk
2025-11-23 23:12
31K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 20:54
31K
a2jmidid-9-r3.apk
2024-10-25 20:45
31K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 20:54
31K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 20:45
31K
libm4ri-dev-20240729-r2.apk
2025-01-15 18:08
31K
py3-radon-6.0.1-r2.apk
2024-10-25 20:54
31K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 20:53
31K
plplot-5.15.0-r2.apk
2024-10-25 20:53
31K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 20:46
31K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 08:53
31K
rtmidi-6.0.0-r0.apk
2024-10-25 20:54
31K
zita-njbridge-0.4.8-r1.apk
2024-10-25 20:58
31K
py3-sphinx-autoapi-3.6.1-r0.apk
2025-10-11 11:00
31K
mint-x-theme-xfwm4-2.3.2-r0.apk
2025-11-14 22:58
31K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 20:53
31K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 20:54
31K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 21:22
31K
pounce-3.1-r4.apk
2025-09-12 22:24
31K
jbigkit-dev-2.1-r2.apk
2024-10-25 20:48
31K
paprefs-1.2-r2.apk
2024-11-22 22:15
31K
libbamf-doc-0.5.6-r1.apk
2024-10-25 20:49
31K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 20:54
31K
php82-exif-8.2.30-r1.apk
2025-12-17 20:31
31K
php81-exif-8.1.34-r0.apk
2025-12-17 02:37
31K
tre-static-0.8.0-r2.apk
2024-10-25 20:57
31K
gsettings-qt-1.1.0-r0.apk
2025-12-09 11:12
31K
ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk
2025-11-17 10:47
31K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 20:52
31K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 20:54
31K
py3-rich-click-1.7.3-r1.apk
2024-10-25 20:54
30K
blip-doc-0.10-r0.apk
2024-10-25 20:45
30K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 20:49
30K
tang-dbg-15-r0.apk
2025-01-20 04:17
30K
punch-pyc-0.1.1-r0.apk
2025-12-09 23:50
30K
swappy-1.7.1-r0.apk
2025-08-21 23:30
30K
neo4j-client-2.2.0-r3.apk
2024-10-25 20:51
30K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 20:54
30K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 19:19
30K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 20:54
30K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 20:47
30K
par-doc-1.53.0-r1.apk
2024-10-25 20:53
30K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 20:53
30K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-12 13:35
30K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-10-03 08:44
30K
ffms2-doc-5.0-r2.apk
2025-10-03 08:43
30K
lxappearance-0.6.3-r3.apk
2024-10-25 20:49
30K
crossplane-0.5.8-r3.apk
2024-10-25 20:46
30K
ptouch-print-1.7-r0.apk
2025-09-13 13:04
30K
py3-spake2-0.9-r0.apk
2024-10-25 20:54
30K
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-09 11:12
30K
libcork-dev-0.15.0-r7.apk
2024-10-25 20:49
30K
py3-pyte-0.8.2-r3.apk
2025-05-14 17:30
30K
py3-svglib-1.5.1-r0.apk
2025-06-10 18:56
30K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:30
30K
lrcalc-libs-2.1-r1.apk
2024-10-25 20:49
30K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 20:54
30K
lomiri-location-service-dev-3.3.0-r5.apk
2025-11-17 13:20
30K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 20:46
30K
libnxml-dev-0.18.3-r0.apk
2024-10-25 20:49
29K
fatback-1.3-r2.apk
2024-10-25 20:47
29K
it87-src-1_p20240609-r0.apk
2024-10-25 20:48
29K
dwl-0.7-r0.apk
2024-10-25 20:46
29K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-09 12:15
29K
py3-spotipy-2.24.0-r3.apk
2025-10-14 15:07
29K
perl-xml-bare-0.53-r14.apk
2025-06-30 09:24
29K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 20:53
29K
punch-0.1.1-r0.apk
2025-12-09 23:50
29K
fpp-0.9.5-r0.apk
2024-10-25 20:47
29K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
29K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 20:53
29K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:45
29K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 20:56
29K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 20:53
29K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:10
29K
materia-dark-gtk4-20210322-r3.apk
2025-07-10 16:38
29K
materia-dark-compact-gtk4-20210322-r3.apk
2025-07-10 16:38
29K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 20:54
29K
libxml++-dev-5.4.0-r0.apk
2025-02-13 07:48
29K
sregex-dev-0.0.1-r1.apk
2024-10-25 20:56
29K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 20:53
29K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 20:52
29K
materia-compact-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 20:56
29K
materia-dark-compact-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
tayga-0.9.5-r0.apk
2025-06-20 07:59
29K
materia-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
materia-dark-gnome-shell-20210322-r3.apk
2025-07-10 16:38
29K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 20:53
29K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 20:45
29K
perl-database-async-doc-0.019-r0.apk
2024-10-25 20:53
29K
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-20 11:21
29K
droidcam-gui-2.1.3-r3.apk
2025-10-03 08:43
29K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 20:54
29K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 20:46
29K
ktx-dev-4.3.2-r1.apk
2025-06-10 02:50
29K
py3-tokenizers-pyc-0.21.2-r0.apk
2025-06-29 22:43
29K
perl-barcode-zbar-0.10-r4.apk
2025-06-30 09:24
29K
perl-math-int64-0.57-r2.apk
2025-06-30 09:24
29K
admesh-0.98.5-r0.apk
2024-10-25 20:45
29K
py3-rospkg-1.2.9-r5.apk
2024-10-25 20:54
29K
rvlprog-0.91-r2.apk
2024-10-25 20:54
29K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 20:53
29K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:18
28K
ttfautohint-1.8.4-r0.apk
2024-10-25 20:58
28K
gmic-bash-completion-3.6.0-r1.apk
2025-10-25 09:01
28K
arc-gnome-20221218-r0.apk
2024-10-25 20:45
28K
postgresql-hll-2.18-r0.apk
2024-10-25 20:53
28K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 13:52
28K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 20:53
28K
libaudec-tools-0.3.4-r3.apk
2024-10-25 20:49
28K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 20:49
28K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 20:51
28K
tre-0.8.0-r2.apk
2024-10-25 20:57
28K
libaudec-0.3.4-r3.apk
2024-10-25 20:49
28K
rocm-cmake-6.4.3-r0.apk
2025-08-18 11:32
28K
jdupes-1.28.0-r0.apk
2024-10-25 20:48
28K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:50
28K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-19 13:45
28K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:45
28K
php82-zip-8.2.30-r1.apk
2025-12-17 20:31
28K
smile-lang-2.11.0-r0.apk
2025-12-21 11:40
28K
ecos-dev-2.0.10-r0.apk
2024-10-25 20:46
28K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 20:54
28K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 20:54
28K
mimeo-2023-r2.apk
2024-10-25 20:50
28K
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:25
28K
pmccabe-2.8-r1.apk
2024-10-25 20:53
28K
py3-ecos-2.0.11-r4.apk
2024-10-25 20:54
28K
octoprint-filecheck-2024.11.12-r0.apk
2025-07-12 15:31
28K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 20:56
28K
cliquer-libs-1.23-r0.apk
2025-08-12 04:15
28K
eclib-doc-20250627-r2.apk
2025-11-26 08:43
28K
ostui-doc-1.0.4-r0.apk
2025-09-11 02:50
28K
simpleble-dev-0.10.3-r0.apk
2025-07-11 02:59
28K
contractor-0.3.5-r0.apk
2024-11-12 22:42
28K
randrctl-1.10.0-r0.apk
2024-11-17 21:22
28K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:05
28K
perl-nice-try-1.3.17-r0.apk
2025-07-19 17:52
28K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 20:53
28K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-24 02:16
28K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
28K
dcnnt-0.10.0-r1.apk
2024-10-25 20:46
28K
fungw-python3-1.2.2-r0.apk
2025-10-03 08:43
28K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 22:19
28K
libtsm-4.1.0-r0.apk
2025-07-08 06:04
27K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
27K
py3-bidict-0.23.1-r1.apk
2024-10-25 20:53
27K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-18 14:23
27K
conserver-doc-8.2.7-r0.apk
2025-12-18 17:45
27K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 20:54
27K
xpar-0.7-r0.apk
2025-10-03 08:45
27K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
27K
stgit-emacs-2.4.7-r1.apk
2024-10-25 20:56
27K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 23:30
27K
py3-furl-2.1.4-r0.apk
2025-07-13 21:53
27K
perl-gearman-2.004.015-r3.apk
2024-10-25 20:53
27K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 20:53
27K
libmysofa-1.3.2-r0.apk
2024-10-25 20:49
27K
ruby-hoe-4.3.0-r0.apk
2025-10-21 04:19
27K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:33
27K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 13:20
27K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 17:36
27K
vcsh-doc-2.0.5-r0.apk
2024-10-25 20:58
27K
perl-promise-me-0.6.0-r0.apk
2025-08-09 12:15
27K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 20:48
27K
arc-dark-gnome-20221218-r0.apk
2024-10-25 20:45
27K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-03-31 14:11
27K
mcjoin-2.11-r0.apk
2024-10-25 20:50
27K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 12:09
27K
keepsecret-lang-1.0.0-r0.apk
2025-12-15 16:23
27K
aoetools-37-r2.apk
2025-01-14 14:00
27K
perl-clipboard-doc-0.32-r1.apk
2025-10-13 15:53
27K
py3-dunamai-1.25.0-r0.apk
2025-07-25 22:24
27K
zita-resampler-1.11.2-r0.apk
2025-04-14 21:17
27K
debconf-doc-1.5.82-r0.apk
2024-10-25 20:46
27K
ip2location-8.6.1-r0.apk
2024-10-25 20:48
27K
php82-sodium-8.2.30-r1.apk
2025-12-17 20:31
27K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 20:54
26K
nwg-displays-0.3.26-r0.apk
2025-08-28 07:40
26K
sipgrep-2.2.0-r1.apk
2024-10-25 20:56
26K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 16:40
26K
charls-dev-2.4.2-r0.apk
2024-10-25 20:46
26K
kanidm-bash-completion-1.8.5-r0.apk
2025-12-13 18:57
26K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 20:54
26K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:45
26K
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 13:29
26K
py3-libacl-0.7.3-r0.apk
2025-10-16 21:59
26K
py3-rtree-1.4.1-r0.apk
2025-08-14 10:21
26K
dehydrated-0.7.1-r0.apk
2024-10-25 20:46
26K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-25 23:42
26K
3proxy-doc-0.9.5-r1.apk
2025-11-17 13:19
26K
libgrapheme-2.0.2-r0.apk
2025-07-22 20:52
26K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:04
26K
wol-0.7.1-r3.apk
2024-10-25 20:58
26K
mirrorhall-0.1.1-r2.apk
2025-08-09 12:15
26K
perl-rxperl-6.29.8-r0.apk
2024-10-25 20:53
26K
perl-promise-xs-0.20-r2.apk
2025-06-30 09:24
26K
gtypist-lang-2.10.1-r0.apk
2025-10-12 21:00
26K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:04
26K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-24 02:16
26K
zarchive-libs-0.1.2-r2.apk
2024-10-25 20:58
26K
perl-ryu-4.001-r0.apk
2024-10-25 20:53
26K
llvm-next-libunwind-static-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
26K
py3-milc-1.9.1-r0.apk
2025-01-25 16:05
26K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 20:54
26K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-06 17:18
26K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-14 17:29
26K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 20:53
26K
tnef-1.4.18-r0.apk
2024-10-25 20:57
26K
ruby-ansi-1.5.0-r0.apk
2025-07-06 19:18
26K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 20:53
26K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 20:52
26K
php81-zip-8.1.34-r0.apk
2025-12-17 02:37
26K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-07 19:50
26K
py3-croniter-6.0.0-r0.apk
2025-08-25 09:06
26K
planarity-4.0.1.0-r0.apk
2025-10-19 05:37
26K
lomiri-location-service-lang-3.3.0-r5.apk
2025-11-17 13:20
26K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 20:54
25K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 20:54
25K
khronos-lang-4.0.1-r0.apk
2024-10-25 20:49
25K
py3-manuel-pyc-1.13.0-r1.apk
2025-10-14 15:07
25K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 20:53
25K
ssh-tools-1.8-r0.apk
2024-10-25 20:56
25K
php81-sodium-8.1.34-r0.apk
2025-12-17 02:37
25K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 09:06
25K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 20:49
25K
py3-truststore-pyc-0.10.1-r0.apk
2025-02-10 06:47
25K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 20:54
25K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:20
25K
perl-data-checks-0.11-r0.apk
2025-11-26 08:43
25K
perl-catalyst-action-rest-1.21-r0.apk
2025-03-31 14:11
25K
caja-gtkhash-plugin-1.5-r0.apk
2025-03-10 19:32
25K
fast-double-parser-0.8.1-r0.apk
2025-10-20 10:33
25K
libcyaml-static-1.4.2-r0.apk
2024-10-25 20:49
25K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:15
25K
p0f-doc-3.09b-r3.apk
2024-10-25 20:53
25K
php82-common-8.2.30-r1.apk
2025-12-17 20:31
25K
snapper-doc-0.13.0-r0.apk
2025-10-03 08:45
25K
libirecovery-1.3.0-r0.apk
2025-10-06 17:41
25K
parcellite-doc-1.2.5-r0.apk
2024-10-25 20:53
25K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 20:54
25K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 20:57
25K
opkg-utils-0.7.0-r0.apk
2024-10-25 20:53
25K
perl-mojo-redis-3.29-r0.apk
2024-10-25 20:53
25K
php81-common-8.1.34-r0.apk
2025-12-17 02:37
25K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:57
25K
lshell-doc-0.9.18-r12.apk
2025-09-06 17:17
25K
freealut-dev-1.1.0-r1.apk
2024-10-25 20:47
25K
olsrd-doc-0.9.8-r3.apk
2024-10-25 20:52
25K
libglib-testing-doc-0.1.1-r0.apk
2025-05-08 12:40
25K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-04 15:23
25K
sregex-0.0.1-r1.apk
2024-10-25 20:56
25K
hilbish-doc-2.3.4-r10.apk
2025-12-04 22:24
25K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 19:18
25K
smplxmpp-doc-0.9.3-r5.apk
2025-10-12 12:22
25K
pnmixer-lang-0.7.2-r3.apk
2024-10-25 20:53
25K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 20:58
25K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 20:54
25K
py3-dominate-2.9.1-r1.apk
2024-10-25 20:53
24K
font-siji-20190218_git-r2.apk
2024-10-25 20:47
24K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 20:54
24K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 04:16
24K
mdnsd-0.12-r1.apk
2024-10-25 20:50
24K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 20:54
24K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 20:47
24K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 20:54
24K
perl-email-sender-2.601-r0.apk
2025-04-04 08:56
24K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:54
24K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-04 15:23
24K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 20:54
24K
php82-dba-8.2.30-r1.apk
2025-12-17 20:31
24K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 20:53
24K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 20:54
24K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 20:54
24K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 20:54
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 20:54
24K
xed-python-3.8.4-r0.apk
2025-10-23 07:55
24K
py3-spin-pyc-0.8-r0.apk
2024-10-25 20:54
24K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 18:14
24K
knxd-dev-0.14.61-r1.apk
2024-12-14 21:36
24K
py3-remind-0.19.2-r0.apk
2025-04-22 17:46
24K
epr-pyc-2.4.15-r1.apk
2024-10-25 20:47
24K
perl-conf-libconfig-1.0.3-r2.apk
2025-06-30 09:24
24K
libm4rie-dev-20200125-r5.apk
2025-01-15 18:08
24K
nfcd-dev-1.2.3-r1.apk
2025-11-15 11:11
24K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-09 12:15
24K
php82-odbc-8.2.30-r1.apk
2025-12-17 20:31
24K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-24 02:16
24K
php81-pecl-mailparse-3.1.9-r0.apk
2025-10-03 08:45
24K
git-revise-0.7.0-r5.apk
2024-10-25 20:47
24K
curlftpfs-0.9.2-r3.apk
2024-10-25 20:46
24K
msgpuck-dev-2.0-r1.apk
2024-10-25 20:51
24K
ntpd-rs-doc-1.6.2-r2.apk
2025-12-18 17:57
24K
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 20:58
24K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 08:00
24K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 20:54
24K
py3-twiggy-0.5.1-r4.apk
2024-10-25 20:54
24K
mbrola-3.3-r0.apk
2024-10-25 20:50
24K
mame-doc-0.251-r0.apk
2024-10-25 20:50
24K
fungw-cli-1.2.2-r0.apk
2025-10-03 08:43
24K
cliquer-tests-1.23-r0.apk
2025-08-12 04:15
24K
php81-dba-8.1.34-r0.apk
2025-12-17 02:37
24K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 20:54
24K
malcontent-dev-0.13.1-r0.apk
2025-09-03 21:56
24K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 20:51
24K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 20:53
24K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 20:49
24K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 20:54
24K
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:54
24K
py3-svgpath-pyc-7.0-r0.apk
2025-07-08 06:16
24K
arif-0.3.0-r0.apk
2025-11-30 10:13
24K
tncattach-0.1.9-r1.apk
2024-10-25 20:57
23K
shipments-0.3.0-r0.apk
2024-10-25 20:56
23K
surf-2.1-r3.apk
2024-10-25 20:57
23K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 20:49
23K
makedumpfile-doc-1.7.8-r0.apk
2025-11-05 15:07
23K
perl-dns-unbound-0.29-r2.apk
2025-06-30 09:24
23K
i2util-4.2.1-r1.apk
2024-10-25 20:48
23K
pam-krb5-4.11-r1.apk
2024-10-25 20:53
23K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:20
23K
pam-krb5-doc-4.11-r1.apk
2024-10-25 20:53
23K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 20:48
23K
py3-timeago-1.0.16-r0.apk
2024-10-25 20:54
23K
nemo-gtkhash-plugin-1.5-r0.apk
2025-03-10 19:32
23K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 20:56
23K
php82-ftp-8.2.30-r1.apk
2025-12-17 20:31
23K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 20:49
23K
nitro-init-0.6-r0.apk
2025-12-16 23:50
23K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 14:09
23K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
emacs-derl-0_git20231004-r1.apk
2025-07-29 04:36
23K
admesh-doc-0.98.5-r0.apk
2024-10-25 20:45
23K
php81-odbc-8.1.34-r0.apk
2025-12-17 02:37
23K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 12:00
23K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 18:52
23K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 20:54
23K
thunar-gtkhash-plugin-1.5-r0.apk
2025-03-10 19:32
23K
py3-compdb-0.2.0-r8.apk
2024-10-25 20:53
23K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 14:20
23K
php81-ftp-8.1.34-r0.apk
2025-12-17 02:37
23K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 20:49
23K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 20:49
23K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 20:49
23K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 20:49
23K
xmp-4.2.0-r0.apk
2024-10-25 20:58
23K
pfetch-1.9.4-r0.apk
2025-10-21 15:00
23K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 20:53
23K
php82-simplexml-8.2.30-r1.apk
2025-12-17 20:31
23K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 20:49
23K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:17
23K
py3-cstruct-6.1-r0.apk
2025-08-21 23:08
23K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
php81-simplexml-8.1.34-r0.apk
2025-12-17 02:37
23K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 13:20
23K
planarity-dev-4.0.1.0-r0.apk
2025-10-19 05:37
23K
py3-tasklib-2.5.1-r2.apk
2024-10-25 20:54
23K
py3-logtop-0.7-r1.apk
2025-08-09 12:15
23K
py3-pymata4-1.15-r4.apk
2024-10-25 20:54
23K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-06 17:18
23K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
qmk-cli-pyc-1.2.0-r0.apk
2025-11-29 12:12
23K
py3-geoip-1.3.2-r4.apk
2024-10-25 20:54
23K
libsemanage-doc-3.6-r1.apk
2024-10-25 20:49
23K
perl-set-infinite-0.65-r0.apk
2025-07-21 08:48
23K
autotrash-0.4.7-r0.apk
2024-10-25 20:45
23K
perl-database-async-0.019-r0.apk
2024-10-25 20:53
23K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:31
23K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 20:46
23K
perl-css-object-0.2.0-r0.apk
2024-10-25 20:53
22K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-06 17:18
22K
cdba-server-1.0-r2.apk
2024-10-25 20:45
22K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:20
22K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:31
22K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:56
22K
bestline-0.0_git20211108-r0.apk
2024-10-25 20:45
22K
libtatsu-dev-1.0.5-r0.apk
2025-10-06 17:41
22K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:22
22K
php82-sqlite3-8.2.30-r1.apk
2025-12-17 20:31
22K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 20:46
22K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 20:53
22K
tanidvr-1.4.1-r2.apk
2025-03-21 11:52
22K
py3-remind-pyc-0.19.2-r0.apk
2025-04-22 17:46
22K
perl-http-xsheaders-0.400005-r2.apk
2025-06-30 09:24
22K
py3-pymata-2.20-r4.apk
2024-10-25 20:54
22K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:09
22K
perl-net-patricia-1.24-r0.apk
2025-11-20 15:48
22K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 20:53
22K
php82-snmp-8.2.30-r1.apk
2025-12-17 20:31
22K
policycoreutils-doc-3.6-r1.apk
2024-10-25 20:53
22K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 06:38
22K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 20:54
22K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 20:56
22K
py3-coreapi-2.3.3-r9.apk
2024-10-25 20:53
22K
py3-dexml-0.5.1-r9.apk
2024-10-25 20:53
22K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 20:57
22K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-10-03 08:44
22K
bindfs-1.17.7-r1.apk
2025-06-19 08:53
22K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:59
22K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 20:54
22K
perl-mastodon-client-0.017-r0.apk
2024-10-25 20:53
22K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-19 13:45
22K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 06:49
22K
zycore-1.5.0-r1.apk
2025-07-11 04:51
22K
libcyaml-1.4.2-r0.apk
2024-10-25 20:49
22K
wiremapper-0.10.0-r0.apk
2024-10-25 20:58
22K
py3-pyroma-4.2-r0.apk
2024-10-25 20:54
22K
cups-pdf-3.0.2-r0.apk
2025-07-04 21:12
22K
perl-net-idn-encode-doc-2.500-r2.apk
2025-06-30 09:24
22K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-10-03 08:44
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 20:54
21K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:43
21K
py3-aiowinreg-0.0.13-r0.apk
2025-11-04 15:23
21K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:55
21K
minimodem-0.24-r1.apk
2024-10-25 20:50
21K
argocd-bash-completion-3.2.0-r1.apk
2025-12-04 22:22
21K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-18 11:07
21K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 20:54
21K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 20:47
21K
starfighter-doc-2.4-r0.apk
2024-10-25 20:56
21K
py3-mando-0.8.2-r0.apk
2025-12-18 11:07
21K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 19:18
21K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 20:53
21K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 18:54
21K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 18:27
21K
horizon-doc-0.9.6-r9.apk
2024-10-25 20:48
21K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 18:27
21K
libspatialindex-dev-2.1.0-r1.apk
2025-11-10 05:30
21K
php81-snmp-8.1.34-r0.apk
2025-12-17 02:37
21K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 20:54
21K
py3-nptyping-2.5.0-r3.apk
2024-10-25 20:54
21K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 20:54
21K
spvm-math-1.006-r1.apk
2025-06-30 09:24
21K
fusee-nano-0.5.3-r1.apk
2024-10-25 20:47
21K
dulcepan-1.0.2-r0.apk
2024-10-25 20:46
21K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-19 13:45
21K
ruff-lsp-0.0.62-r0.apk
2025-03-06 22:31
21K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 20:51
21K
ubase-doc-20200605-r3.apk
2024-10-25 20:58
21K
dnsenum-1.3.2-r0.apk
2024-10-25 20:46
21K
php81-sqlite3-8.1.34-r0.apk
2025-12-17 02:37
21K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-24 02:16
21K
py3-cobs-1.2.0-r4.apk
2024-10-25 20:53
21K
php82-gmp-8.2.30-r1.apk
2025-12-17 20:31
21K
perl-wanted-0.1.0-r0.apk
2025-08-09 12:15
21K
recoll-doc-1.37.5-r1.apk
2024-10-25 20:54
21K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 20:53
21K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 20:54
21K
zvbi-doc-0.2.44-r0.apk
2025-03-11 21:05
21K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:11
21K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
21K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:05
21K
readosm-dev-1.1.0-r3.apk
2025-05-14 17:30
21K
libiio-pyc-0.25-r2.apk
2024-10-25 20:49
21K
py3-telemetrix-1.20-r3.apk
2024-10-25 20:54
21K
htmlcxx-dev-0.87-r1.apk
2024-10-25 20:48
21K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 20:54
21K
tup-doc-0.7.11-r1.apk
2025-06-19 08:53
21K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:20
21K
bump2version-1.0.1-r6.apk
2024-10-25 20:45
21K
libnxml-0.18.3-r0.apk
2024-10-25 20:49
21K
adjtimex-1.29-r0.apk
2024-10-25 20:45
21K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 20:53
21K
perl-flowd-0.9.1-r11.apk
2025-06-30 09:24
20K
llvm-next-libunwind-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
20K
detox-doc-2.0.0-r0.apk
2024-10-25 20:46
20K
py3-altgraph-0.17.4-r1.apk
2024-10-25 20:53
20K
perl-bsd-resource-1.2911-r11.apk
2025-06-30 09:24
20K
libmrss-0.19.2-r1.apk
2024-10-25 20:49
20K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 20:52
20K
php81-gmp-8.1.34-r0.apk
2025-12-17 02:37
20K
circuslinux-1.0.3-r1.apk
2024-10-25 20:46
20K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-25 23:42
20K
tang-doc-15-r0.apk
2025-01-20 04:17
20K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 20:54
20K
iprange-1.0.4-r1.apk
2024-10-25 20:48
20K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:16
20K
eiwd-doc-3.10-r0.apk
2025-10-07 16:34
20K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 20:54
20K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 12:09
20K
sqm-scripts-1.6.0-r0.apk
2024-10-25 20:56
20K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 20:54
20K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 04:28
20K
py3-py-radix-0.10.0-r10.apk
2024-10-25 20:54
20K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 20:49
20K
bootterm-0.5-r0.apk
2024-10-25 20:45
20K
py3-nmap-0.7.1-r4.apk
2024-10-25 20:54
20K
php82-bcmath-8.2.30-r1.apk
2025-12-17 20:31
20K
uclient-20241022-r0.apk
2025-05-29 22:00
20K
php81-bcmath-8.1.34-r0.apk
2025-12-17 02:37
20K
py3-libnacl-2.1.0-r1.apk
2024-10-25 20:54
20K
kcbench-doc-0.9.13-r0.apk
2025-10-23 20:58
20K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:02
20K
ptpd-doc-2.3.1-r1.apk
2024-10-25 20:53
20K
lbb-doc-0.10.4-r1.apk
2025-12-04 22:24
20K
perl-web-machine-0.17-r0.apk
2025-06-13 18:18
20K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 20:54
20K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 20:53
20K
mdnsd-static-0.12-r1.apk
2024-10-25 20:50
20K
yamkix-pyc-0.14.0-r0.apk
2025-12-22 19:55
20K
py3-senf-1.5.0-r0.apk
2025-06-27 02:59
20K
gtklock-4.0.0-r0.apk
2025-01-31 16:17
20K
wsmancli-2.8.0-r0.apk
2025-07-14 09:41
20K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 17:33
20K
libdbusaccess-1.0.20-r1.apk
2025-08-09 12:15
20K
ddgr-2.2-r0.apk
2024-10-25 20:46
20K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 19:18
20K
py3-piccata-2.0.3-r1.apk
2024-10-25 20:54
20K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-18 14:23
20K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 20:53
20K
ydcv-0.7-r8.apk
2024-10-25 20:58
20K
portsmf-dev-239-r2.apk
2025-10-13 20:03
20K
libserialport-0.1.1-r1.apk
2024-10-25 20:49
20K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 12:54
20K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 20:54
20K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 20:45
20K
perl-b-utils-0.27-r1.apk
2025-06-30 09:24
20K
neko-doc-2.3.0-r0.apk
2024-11-20 22:27
20K
perl-datetime-set-0.3900-r0.apk
2025-07-21 08:48
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 20:53
20K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-19 13:45
20K
vim-rust-305-r1.apk
2025-08-21 08:06
20K
fnf-0.1-r0.apk
2024-10-25 20:47
20K
perl-ffi-c-0.15-r0.apk
2024-10-25 20:53
20K
perl-autobox-3.0.2-r1.apk
2025-06-30 09:24
20K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 20:48
20K
php82-xml-8.2.30-r1.apk
2025-12-17 20:31
20K
lua-lupa-1.0-r0.apk
2024-10-25 20:49
20K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 20:54
20K
php82-pecl-yaml-2.3.0-r0.apk
2025-11-14 22:59
20K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 20:53
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 20:53
20K
php82-pdo_pgsql-8.2.30-r1.apk
2025-12-17 20:31
19K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 20:54
19K
qrcodegen-dev-1.8.0-r0.apk
2025-11-29 18:56
19K
php81-xml-8.1.34-r0.apk
2025-12-17 02:37
19K
php81-pdo_pgsql-8.1.34-r0.apk
2025-12-17 02:37
19K
powerstat-0.04.01-r0.apk
2024-10-25 20:53
19K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 20:53
19K
droidcam-2.1.3-r3.apk
2025-10-03 08:43
19K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 20:53
19K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-14 22:59
19K
llvm-next-libunwind-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
19K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 20:49
19K
perl-xml-atom-0.43-r0.apk
2024-10-25 20:53
19K
hyfetch-doc-2.0.5-r0.apk
2025-11-21 17:34
19K
php82-pecl-ast-1.1.3-r0.apk
2025-10-24 02:16
19K
libofx-dev-0.10.9-r1.apk
2024-10-25 20:49
19K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 20:54
19K
libhomfly-1.02_p6-r1.apk
2024-10-25 20:49
19K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 20:54
19K
php82-tidy-8.2.30-r1.apk
2025-12-17 20:31
19K
ampy-pyc-1.1.0-r6.apk
2025-03-19 13:43
19K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 17:43
19K
bootinfo-0.1.0-r4.apk
2024-10-25 20:45
19K
py3-schema-0.7.7-r0.apk
2025-07-07 16:16
19K
arif-doc-0.3.0-r0.apk
2025-11-30 10:13
19K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 20:49
19K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 20:53
19K
shellinabox-doc-2.21-r3.apk
2024-10-25 20:56
19K
sdparm-doc-1.12-r1.apk
2024-10-25 20:56
19K
freealut-1.1.0-r1.apk
2024-10-25 20:47
19K
evolution-etesync-lang-1.1.1-r0.apk
2025-10-03 08:43
19K
rizin-doc-0.8.1-r0.apk
2025-06-30 16:51
19K
py3-trakit-0.2.5-r0.apk
2025-11-23 23:12
19K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 20:53
19K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 20:53
19K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 20:53
19K
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 20:51
19K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 20:53
19K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 20:48
19K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:36
19K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-19 13:43
19K
php82-pecl-decimal-1.5.0-r1.apk
2025-10-24 02:16
19K
musikcube-dev-3.0.5-r0.apk
2025-10-03 08:44
19K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
node-libpg-query-13.1.2-r5.apk
2024-10-25 20:51
19K
moe-doc-1.14-r0.apk
2024-10-25 20:51
19K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 20:46
19K
snapraid-doc-13.0-r0.apk
2025-11-04 15:23
19K
mdnsd-libs-0.12-r1.apk
2024-10-25 20:50
19K
razercfg-gui-0.42-r7.apk
2024-10-25 20:54
19K
libemf2svg-utils-1.1.0-r3.apk
2025-10-06 11:33
19K
py3-yara-4.5.4-r0.apk
2025-08-13 15:33
19K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:34
19K
dmenu-wl-0.1-r0.apk
2025-07-02 10:33
19K
rmlint-lang-2.10.2-r2.apk
2024-10-25 20:54
19K
php81-tidy-8.1.34-r0.apk
2025-12-17 02:37
19K
php82-iconv-8.2.30-r1.apk
2025-12-17 20:31
19K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:46
19K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
abnfgen-0.21-r0.apk
2025-05-27 23:25
19K
py3-agithub-2.2.2-r7.apk
2025-03-19 13:45
19K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:33
19K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 20:49
19K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:31
19K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 20:49
19K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 20:49
19K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 20:49
19K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 19:23
19K
py3-helper-2.5.0-r5.apk
2024-10-25 20:54
19K
boson-0_git20211219-r0.apk
2024-10-25 20:45
19K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 20:49
18K
py3-iniparse-0.5-r7.apk
2024-10-25 20:54
18K
py3-vatnumber-1.2-r9.apk
2024-10-25 20:54
18K
py3-svgpath-7.0-r0.apk
2025-07-08 06:16
18K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:38
18K
lld-next-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
18K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 22:37
18K
py3-spin-0.8-r0.apk
2024-10-25 20:54
18K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 20:53
18K
py3-wbdata-1.0.0-r1.apk
2024-10-25 20:54
18K
php81-iconv-8.1.34-r0.apk
2025-12-17 02:37
18K
spvm-mime-base64-1.003-r1.apk
2025-06-30 09:24
18K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 20:56
18K
llmnrd-0.7-r1.apk
2024-10-25 20:49
18K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:54
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 20:49
18K
speedtest-doc-5.2.5-r1.apk
2024-10-25 20:56
18K
visidata-doc-3.3-r0.apk
2025-10-03 08:45
18K
cowsay-3.04-r2.apk
2024-10-25 20:46
18K
hyx-2024.02.29-r0.apk
2024-10-25 20:48
18K
py3-msldap-examples-0.5.15-r2.apk
2025-10-17 16:43
18K
wayqt-dev-0.3.0-r1.apk
2025-08-21 08:05
18K
py3-flask-socketio-5.5.1-r0.apk
2025-09-06 17:18
18K
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 14:46
18K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 20:53
18K
pure-1.23.0-r0.apk
2025-10-20 13:52
18K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 20:46
18K
fungw-duktape-1.2.2-r0.apk
2025-10-03 08:43
18K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:39
18K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 20:54
18K
libecap-static-1.0.1-r1.apk
2024-10-25 20:49
18K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 20:49
18K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 20:54
18K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 19:19
18K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 16:01
18K
anarch-doc-1.0-r1.apk
2024-10-25 20:45
18K
circuslinux-doc-1.0.3-r1.apk
2024-10-25 20:46
18K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 20:56
18K
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 17:15
18K
hatop-0.8.2-r0.apk
2024-10-25 20:48
18K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 20:46
18K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 20:54
18K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:50
18K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 13:29
18K
mobpass-0.2-r6.apk
2024-10-25 20:51
18K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 06:32
18K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 20:54
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:38
18K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:18
18K
perl-opentracing-1.006-r0.apk
2024-10-25 20:53
18K
newsyslog-1.2.0.91-r1.apk
2024-10-25 20:51
18K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 20:54
18K
py3-flake8-isort-7.0.0-r0.apk
2025-10-25 20:15
18K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 20:54
18K
fungw-mujs-1.2.2-r0.apk
2025-10-03 08:43
18K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:36
18K
mkg3a-0.5.0-r1.apk
2024-10-25 20:51
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 20:49
18K
dropwatch-1.5.5-r2.apk
2025-12-04 22:23
18K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 20:53
18K
libiio-doc-0.25-r2.apk
2024-10-25 20:49
18K
perl-datetime-set-doc-0.3900-r0.apk
2025-07-21 08:48
18K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 01:01
18K
spvm-errno-0.093-r1.apk
2025-06-30 09:24
18K
rmlint-doc-2.10.2-r2.apk
2024-10-25 20:54
18K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 20:53
18K
xva-img-1.5-r0.apk
2024-10-25 20:58
18K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 20:58
17K
pacparser-doc-1.4.5-r1.apk
2024-10-25 20:53
17K
atool-0.39.0-r4.apk
2024-10-25 20:45
17K
surfraw-doc-2.3.0-r0.apk
2024-10-25 20:57
17K
hexedit-1.6_git20230905-r0.apk
2024-10-25 20:48
17K
libfort-dev-0.4.2-r0.apk
2024-10-25 20:49
17K
emacs-taxy-magit-section-0.14.3-r0.apk
2025-04-23 05:43
17K
remind-caldav-0.8.0-r4.apk
2024-10-25 20:54
17K
perl-html-tableextract-2.15-r4.apk
2024-10-25 20:53
17K
mdp-1.0.18-r0.apk
2025-07-09 21:13
17K
emacs-total-recall-0_git20250426-r0.apk
2025-05-04 02:50
17K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 20:52
17K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 20:54
17K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 20:52
17K
wlclock-1.0.1-r0.apk
2024-10-25 20:58
17K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:38
17K
libeantic-dev-2.1.0-r2.apk
2025-11-26 08:43
17K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 20:54
17K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 20:53
17K
py3-truststore-0.10.1-r0.apk
2025-02-10 06:47
17K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 20:54
17K
arc-darker-metacity-20221218-r0.apk
2024-10-25 20:45
17K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:41
17K
arc-dark-metacity-20221218-r0.apk
2024-10-25 20:45
17K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 20:58
17K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 20:46
17K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 20:54
17K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-24 02:16
17K
py3-kerberos-1.3.1-r5.apk
2024-10-25 20:54
17K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 17:52
17K
xvidtune-1.0.4-r0.apk
2024-10-25 20:58
17K
xmag-1.0.8-r0.apk
2024-10-25 20:58
17K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-04 15:23
17K
logc-argp-0.5.0-r1.apk
2025-06-13 14:15
17K
py3-testresources-2.0.1-r6.apk
2024-10-25 20:54
17K
yamkix-0.14.0-r0.apk
2025-12-22 19:55
17K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 20:45
17K
py3-googletrans-pyc-3.0.0-r5.apk
2025-05-14 17:30
17K
py3-actdiag-3.0.0-r5.apk
2024-10-25 20:53
17K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-06-30 09:24
17K
zarchive-0.1.2-r2.apk
2024-10-25 20:58
17K
py3-lzo-1.16-r1.apk
2024-10-25 20:54
17K
arc-metacity-20221218-r0.apk
2024-10-25 20:45
17K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:11
17K
shc-4.0.3-r2.apk
2024-10-25 20:56
17K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 20:54
17K
xa-doc-2.4.1-r0.apk
2025-02-25 12:37
17K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 16:34
17K
epic6-doc-0_git20250821-r0.apk
2025-09-06 17:16
17K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:37
17K
php82-pecl-psr-1.2.0-r1.apk
2025-10-24 02:16
17K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 17:33
17K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 20:54
17K
libtatsu-1.0.5-r0.apk
2025-10-06 17:41
17K
hping3-doc-20051105-r4.apk
2024-10-25 20:48
17K
gsimplecal-2.5.2-r0.apk
2025-10-16 18:48
17K
py3-bencode-4.0.0-r1.apk
2024-10-25 20:53
17K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 20:58
17K
git-secret-doc-0.5.0-r0.apk
2024-10-25 20:47
17K
py3-dpath-2.2.0-r0.apk
2024-10-25 20:53
17K
identities-0.2.2-r0.apk
2025-10-18 15:41
17K
paperkey-1.6-r2.apk
2024-10-25 20:53
17K
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 20:56
17K
xmppipe-0.16.0-r1.apk
2024-10-25 20:58
17K
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-09 11:12
17K
php82-pecl-vips-1.0.13-r0.apk
2025-10-24 02:16
17K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 20:47
17K
git-bug-doc-0.8.1-r6.apk
2025-12-04 22:23
17K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
dvdbackup-0.4.2-r1.apk
2024-10-25 20:46
17K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 20:53
17K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 20:54
17K
repo-2.60-r0.apk
2025-12-12 19:50
17K
py3-ciso8601-2.3.3-r0.apk
2025-11-10 17:32
17K
logtop-libs-0.7-r1.apk
2025-08-09 12:15
17K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 20:54
17K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 20:53
17K
libcpdf-dev-2.8.1-r0.apk
2025-05-08 12:40
16K
perl-indirect-0.39-r2.apk
2025-06-30 09:24
16K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 20:53
16K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 20:54
16K
junit2html-31.0.2-r0.apk
2024-10-25 20:48
16K
raku-system-query-0.1.6-r1.apk
2025-08-29 08:25
16K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-23 23:12
16K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 20:54
16K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
16K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 20:54
16K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 20:54
16K
geomyidae-0.34-r2.apk
2024-10-25 20:47
16K
ocaml-trie-1.0.0-r2.apk
2024-10-25 20:52
16K
dislocker-0.7.3-r6.apk
2025-07-19 22:57
16K
py3-pylru-1.2.1-r1.apk
2024-10-25 20:54
16K
startup-lang-2.0.3-r5.apk
2024-10-25 20:56
16K
libsimpleble-c-0.10.3-r0.apk
2025-07-11 02:59
16K
libvisio2svg-0.5.5-r3.apk
2024-10-25 20:49
16K
tang-15-r0.apk
2025-01-20 04:17
16K
py3-vdf-pyc-3.4-r2.apk
2025-10-16 21:59
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 20:52
16K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-09 12:15
16K
php85-pecl-vld-0.19.1-r1.apk
2025-10-03 08:45
16K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 22:37
16K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 20:54
16K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 09:25
16K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 20:52
16K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 20:49
16K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:29
16K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 20:54
16K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 20:54
16K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 20:53
16K
rinetd-doc-0.73-r0.apk
2024-10-25 20:54
16K
perl-git-repository-1.325-r0.apk
2024-10-25 20:53
16K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 20:53
16K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 20:53
16K
belcard-5.3.105-r0.apk
2025-02-25 12:54
16K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 20:54
16K
zpaq-doc-7.15-r0.apk
2025-10-09 03:51
16K
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:19
16K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 20:54
16K
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 20:53
16K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:29
16K
cmusfm-0.5.0-r1.apk
2025-08-27 04:14
16K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:29
16K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 02:03
16K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:20
16K
bwrap-oci-0.2-r1.apk
2024-10-25 20:45
16K
py3-markdownify-1.2.2-r0.apk
2025-11-27 16:01
16K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 20:58
16K
Ubuntu Linux
fatback-doc-1.3-r2.apk
2024-10-25 20:47
16K
predict-doc-2.3.1-r0.apk
2024-11-23 19:08
16K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-12 13:35
16K
please-doc-0.5.6-r0.apk
2025-08-29 08:36
16K
wok-lang-3.0.0-r6.apk
2024-10-25 20:58
16K
sacc-1.07-r1.apk
2025-09-12 22:24
16K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-08 12:40
16K
perl-list-keywords-0.11-r1.apk
2025-06-30 09:24
16K
baresip-dev-4.1.0-r0.apk
2025-10-03 08:43
16K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 20:45
16K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 20:46
16K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-09 09:09
16K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 20:53
16K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 20:54
16K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 20:54
16K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 20:53
16K
nkk-0_git20221010-r0.apk
2024-10-25 20:51
16K
fungw-lua-1.2.2-r0.apk
2025-10-03 08:43
16K
perl-html-gumbo-0.18-r2.apk
2025-06-30 09:24
16K
ol-dev-2.6-r0.apk
2025-05-04 02:50
16K
perl-css-inliner-4027-r0.apk
2025-06-16 11:51
16K
zile-doc-2.6.2-r1.apk
2024-10-25 20:58
16K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 20:51
16K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 20:58
16K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 13:52
16K
perl-archive-extract-0.88-r1.apk
2024-10-25 20:53
15K
blackbox-1.20220610-r1.apk
2024-10-25 20:45
15K
epr-2.4.15-r1.apk
2024-10-25 20:47
15K
stacker-doc-1.1.3-r1.apk
2025-12-04 22:25
15K
logtop-0.7-r1.apk
2025-08-09 12:15
15K
perl-future-http-doc-0.17-r0.apk
2024-10-25 20:53
15K
readosm-1.1.0-r3.apk
2025-05-14 17:30
15K
sandbar-0.2_git20250909-r0.apk
2025-12-21 14:38
15K
hx-1.0.15-r0.apk
2024-10-25 20:48
15K
libibumad-1.3.10.2-r3.apk
2024-10-25 20:49
15K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
15K
py3-lib_users-0.15-r4.apk
2024-10-25 20:54
15K
m2r2-pyc-0.3.3-r3.apk
2024-10-25 20:49
15K
blip-0.10-r0.apk
2024-10-25 20:45
15K
xcompmgr-1.1.10-r0.apk
2025-06-28 11:26
15K
aide-doc-0.19.2-r0.apk
2025-09-06 17:16
15K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 20:46
15K
gssdp-dev-1.6.4-r1.apk
2025-08-09 12:15
15K
bat-extras-doc-2024.08.24-r0.apk
2025-10-12 20:47
15K
miracle-wm-dev-0.8.2-r1.apk
2025-12-18 14:23
15K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 20:54
15K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 20:53
15K
mpdris2-0.9.1-r3.apk
2024-10-25 20:51
15K
codec2-dev-1.2.0-r1.apk
2025-11-22 18:51
15K
py3-teletype-1.3.4-r3.apk
2024-10-25 20:54
15K
ampy-1.1.0-r6.apk
2025-03-19 13:43
15K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 20:54
15K
infnoise-0.3.3-r0.apk
2025-05-26 17:46
15K
perl-dns-unbound-doc-0.29-r2.apk
2025-06-30 09:24
15K
php82-pcntl-8.2.30-r1.apk
2025-12-17 20:31
15K
rgxg-0.1.2-r2.apk
2024-10-25 20:54
15K
spvm-thread-0.003-r1.apk
2025-06-30 09:24
15K
kirc-0.3.3-r0.apk
2025-02-22 11:10
15K
rinetd-0.73-r0.apk
2024-10-25 20:54
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 20:53
15K
libnfcdef-1.0.1-r1.apk
2025-08-09 12:15
15K
perl-number-format-1.76-r1.apk
2024-10-25 20:53
15K
git-quick-stats-2.8.0-r0.apk
2025-09-11 08:19
15K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 20:54
15K
py3-googletrans-3.0.0-r5.apk
2025-05-14 17:30
15K
fatresize-doc-1.1.0-r1.apk
2024-10-25 20:47
15K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 20:54
15K
luksmeta-9-r0.apk
2024-10-25 20:49
15K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 20:54
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 20:51
15K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 20:54
15K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 20:46
15K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 20:54
15K
libbraiding-dev-1.3.1-r0.apk
2024-11-17 04:09
15K
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:46
15K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-24 02:16
15K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 12:09
15K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 20:53
15K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
15K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
15K
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:46
15K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 20:53
15K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 20:54
15K
py3-pygtail-0.14.0-r3.apk
2024-10-25 20:54
15K
usbtop-1.0-r0.apk
2025-04-12 12:27
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 20:53
15K
php82-xmlreader-8.2.30-r1.apk
2025-12-17 20:31
15K
wshowkeys-1.0-r0.apk
2024-10-25 20:58
15K
virter-doc-0.29.0-r5.apk
2025-12-04 22:25
15K
sopwith-doc-2.5.0-r0.apk
2024-10-25 20:56
15K
slurm-0.4.4-r0.apk
2024-10-25 20:56
15K
py3-pybars3-0.9.7-r6.apk
2024-10-25 20:54
15K
perl-number-tolerant-1.710-r0.apk
2024-10-25 20:53
15K
libcorkipset-1.1.1-r4.apk
2024-10-25 20:49
15K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 14:57
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 20:53
15K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 20:57
15K
sssd-dev-2.11.1-r2.apk
2025-11-20 08:42
15K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 20:53
15K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 20:54
15K
godini-doc-1.0.0-r5.apk
2025-12-04 22:23
15K
py3-urlobject-2.4.3-r9.apk
2024-10-25 20:54
14K
git-secret-0.5.0-r0.apk
2024-10-25 20:47
14K
par-1.53.0-r1.apk
2024-10-25 20:53
14K
perl-dbix-connector-0.60-r0.apk
2024-12-30 06:38
14K
qmk-cli-1.2.0-r0.apk
2025-11-29 12:12
14K
libresprite-doc-1.2-r0.apk
2025-04-13 22:18
14K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:46
14K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 20:53
14K
termbox-static-1.1.2-r1.apk
2024-10-25 20:57
14K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 09:40
14K
perl-test-modern-0.013-r3.apk
2024-10-25 20:53
14K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 20:46
14K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:20
14K
perl-test-files-doc-0.26-r0.apk
2024-10-25 20:53
14K
throttled-0.10.0-r1.apk
2024-12-15 19:50
14K
mm-doc-1.4.2-r1.apk
2024-10-25 20:51
14K
belr-dev-5.3.105-r0.apk
2025-02-25 12:54
14K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 20:53
14K
gmid-doc-2.1.1-r0.apk
2024-11-27 19:26
14K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:57
14K
perl-syntax-keyword-match-0.15-r1.apk
2025-06-30 09:24
14K
py3-mistune1-0.8.4-r6.apk
2025-11-09 18:54
14K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 20:50
14K
ssss-0.5.7-r0.apk
2024-10-25 20:56
14K
aprilsh-doc-0.7.12-r10.apk
2025-12-04 22:22
14K
sqlar-0_git20180107-r1.apk
2024-10-25 20:56
14K
py3-unidns-0.0.4-r0.apk
2025-11-04 15:23
14K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 20:46
14K
mdnsd-doc-0.12-r1.apk
2024-10-25 20:50
14K
py3-prefixed-0.9.0-r0.apk
2025-08-28 09:32
14K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
wmctrl-1.07-r1.apk
2024-10-25 20:58
14K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:55
14K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 20:53
14K
py3-us-3.2.0-r0.apk
2024-10-25 20:54
14K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 12:51
14K
php81-xmlreader-8.1.34-r0.apk
2025-12-17 02:37
14K
perl-xml-feed-1.0.0-r0.apk
2025-11-17 18:34
14K
libzvbi-dev-0.2.44-r0.apk
2025-03-11 21:05
14K
mint-themes-doc-2.3.2-r0.apk
2025-11-14 22:58
14K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 20:53
14K
ruby-minitest-autotest-1.2.0-r0.apk
2025-12-15 18:37
14K
fungw-tcl-1.2.2-r0.apk
2025-10-03 08:43
14K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
14K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 20:53
14K
dasht-2.4.0-r0.apk
2024-10-25 20:46
14K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
14K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 20:54
14K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:06
14K
watchdog-doc-5.16-r2.apk
2024-10-25 20:58
14K
mspdebug-doc-0.25-r1.apk
2024-10-25 20:51
14K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 13:20
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 20:54
14K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 20:54
14K
py3-firmata-1.0.3-r10.apk
2024-10-25 20:54
14K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
py3-euclid3-0.01-r8.apk
2024-10-25 20:54
14K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:20
14K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 20:54
14K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 20:57
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 20:54
14K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 20:53
14K
perl-snmp-doc-5.0404-r14.apk
2025-06-30 09:24
14K
lsdvd-0.17-r0.apk
2024-10-25 20:49
14K
cddlib-dev-0.94m-r2.apk
2024-10-25 20:45
14K
ledmon-doc-1.0.0-r0.apk
2024-10-25 20:49
14K
gammastep-doc-2.0.9-r3.apk
2024-10-25 20:47
14K
py3-spidev-3.6-r1.apk
2024-10-25 20:54
14K
libinfnoise-0.3.3-r0.apk
2025-05-26 17:46
14K
faultstat-0.01.11-r0.apk
2024-10-25 20:47
14K
qdjango-dev-0.6.2-r1.apk
2024-10-25 20:54
14K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 20:45
14K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 20:45
14K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-20 16:06
14K
tere-doc-1.6.0-r0.apk
2024-10-25 20:57
14K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 20:53
14K
dam-0_git20250728-r0.apk
2025-12-22 21:23
14K
tuptime-5.2.4-r2.apk
2025-09-06 17:18
14K
fungw-1.2.2-r0.apk
2025-10-03 08:43
14K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 20:53
14K
emacs-total-recall-examples-0_git20250426-r0.apk
2025-05-04 02:50
14K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 20:54
14K
toss-1.1-r1.apk
2025-05-29 12:09
14K
xfd-1.1.4-r0.apk
2024-10-25 20:58
14K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 20:54
14K
php81-pdo_mysql-8.1.34-r0.apk
2025-12-17 02:37
14K
php82-pdo_mysql-8.2.30-r1.apk
2025-12-17 20:31
14K
php81-pcntl-8.1.34-r0.apk
2025-12-17 02:37
14K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:36
14K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 20:54
14K
php82-pdo_odbc-8.2.30-r1.apk
2025-12-17 20:31
14K
php82-calendar-8.2.30-r1.apk
2025-12-17 20:31
14K
mp3val-0.1.8-r1.apk
2024-10-25 20:51
14K
tpp-bypass-0.8.4-r0.apk
2024-10-25 20:57
14K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 12:28
14K
perl-astro-doc-0.78-r0.apk
2025-07-21 08:48
14K
perl-html-query-0.09-r0.apk
2025-06-16 11:51
14K
php82-xsl-8.2.30-r1.apk
2025-12-17 20:31
14K
php81-xsl-8.1.34-r0.apk
2025-12-17 02:37
14K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-13 15:53
14K
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-04 23:15
14K
aoetools-doc-37-r2.apk
2025-01-14 14:00
13K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-24 02:16
13K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 20:54
13K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 20:51
13K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 20:56
13K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 16:26
13K
peg-doc-0.1.18-r1.apk
2024-10-25 20:53
13K
xed-dev-3.8.4-r0.apk
2025-10-23 07:55
13K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 22:58
13K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
13K
qrcodegen-1.8.0-r0.apk
2025-11-29 18:56
13K
py3-evalidate-2.1.3-r0.apk
2025-12-16 17:24
13K
perl-uri-find-20160806-r0.apk
2025-03-23 07:59
13K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 04:16
13K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-12 15:52
13K
touchpad-emulator-0.3-r0.apk
2025-05-26 17:46
13K
php81-pdo_sqlite-8.1.34-r0.apk
2025-12-17 02:37
13K
bettercap-doc-2.41.5-r0.apk
2025-12-16 17:24
13K
ufw-docker-doc-250710-r0.apk
2025-09-14 06:52
13K
bash-pinyin-completion-rs-doc-1.0.3-r0.apk
2025-12-10 00:02
13K
afetch-doc-2.2.0-r1.apk
2024-10-25 20:45
13K
php81-calendar-8.1.34-r0.apk
2025-12-17 02:37
13K
libecap-1.0.1-r1.apk
2024-10-25 20:49
13K
perl-net-netmask-2.0003-r0.apk
2025-05-18 04:10
13K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 20:54
13K
perl-cpan-audit-20250829.001-r0.apk
2025-09-06 17:18
13K
php82-pdo_sqlite-8.2.30-r1.apk
2025-12-17 20:31
13K
py3-prctl-1.8.1-r0.apk
2025-10-20 13:52
13K
py3-ecbdata-0.1.1-r0.apk
2025-04-14 00:18
13K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 21:30
13K
roll-2.6.1-r1.apk
2025-10-13 15:53
13K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 20:57
13K
perl-starman-0.4017-r0.apk
2024-10-25 20:53
13K
cpp-httplib-doc-0.28.0-r0.apk
2025-11-26 13:46
13K
wabt-doc-1.0.37-r0.apk
2025-04-06 21:41
13K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 14:46
13K
convert2json-doc-2.4.1-r0.apk
2025-12-15 16:19
13K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 20:54
13K
mpdcron-doc-0.3-r1.apk
2024-10-25 20:51
13K
libiio-dev-0.25-r2.apk
2024-10-25 20:49
13K
py3-asif-0.3.2-r3.apk
2024-10-25 20:53
13K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:58
13K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 20:56
13K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:36
13K
startup-tools-2.0.3-r5.apk
2024-10-25 20:56
13K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:54
13K
php81-pdo_odbc-8.1.34-r0.apk
2025-12-17 02:37
13K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:29
13K
perl-x11-xcb-doc-0.24-r0.apk
2025-12-13 07:35
13K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 08:56
13K
mm-dev-1.4.2-r1.apk
2024-10-25 20:51
13K
ip2location-dev-8.6.1-r0.apk
2024-10-25 20:48
13K
perl-ev-hiredis-0.07-r3.apk
2025-06-30 09:24
13K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 12:56
13K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-24 02:16
13K
mautrix-zulip-doc-0.2511.0-r1.apk
2025-12-04 22:24
13K
mautrix-linkedin-doc-0.2512.0-r0.apk
2025-12-16 18:23
13K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 20:54
13K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 20:54
13K
darts-clone-dev-0.32h-r0.apk
2025-07-06 07:10
13K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:34
13K
fungw-doc-1.2.2-r0.apk
2025-10-03 08:43
13K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 20:54
13K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 20:54
13K
libglib-testing-0.1.1-r0.apk
2025-05-08 12:40
13K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 20:54
13K
perl-algorithm-permute-0.17-r1.apk
2025-06-30 09:24
13K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 20:45
13K
vmtouch-1.3.1-r0.apk
2024-10-25 20:58
13K
planarity-doc-4.0.1.0-r0.apk
2025-10-19 05:37
13K
py3-pdal-pyc-3.4.5-r1.apk
2025-06-21 21:30
13K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
php81-pdo_dblib-8.1.34-r0.apk
2025-12-17 02:37
13K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 20:53
13K
php82-pdo_dblib-8.2.30-r1.apk
2025-12-17 20:31
13K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 20:54
13K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 20:49
13K
tcpbench-3.00-r1.apk
2025-09-12 22:24
13K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 20:54
13K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 20:54
13K
lrcalc-2.1-r1.apk
2024-10-25 20:49
13K
perl-crypt-blowfish-2.14-r1.apk
2025-06-30 09:24
13K
py3-wifi-0.3.8-r7.apk
2024-10-25 20:54
13K
makeself-2.5.0-r0.apk
2024-10-25 20:50
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 20:54
13K
barrier-doc-2.4.0-r2.apk
2025-02-18 22:21
13K
biometryd-dev-0.3.3-r0.apk
2025-12-09 11:12
13K
speedtest-examples-5.2.5-r1.apk
2024-10-25 20:56
13K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 20:54
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 20:49
13K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 20:54
13K
ddserver-0_git20200930-r1.apk
2024-10-25 20:46
13K
lychee-doc-0.19.1-r0.apk
2025-06-17 08:02
13K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 20:54
13K
devil-dev-1.8.0-r0.apk
2024-10-25 20:46
13K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 20:53
13K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 20:53
13K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:50
13K
harminv-libs-1.4.2-r1.apk
2024-10-25 20:48
13K
perl-xml-feed-doc-1.0.0-r0.apk
2025-11-17 18:34
13K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 20:54
13K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 20:49
13K
utop-emacs-2.9.1-r4.apk
2024-10-25 20:58
13K
perl-promise-me-doc-0.6.0-r0.apk
2025-08-09 12:15
13K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 20:48
13K
py3-incoming-0.3.1-r8.apk
2024-10-25 20:54
13K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 01:40
13K
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 20:52
13K
wlavu-0_git20201101-r1.apk
2024-10-25 20:58
13K
glmark2-doc-2023.01-r1.apk
2024-10-25 20:47
13K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 20:53
13K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 20:54
13K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 01:40
13K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 20:53
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:55
12K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:31
12K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
12K
py3-pygfm-2.0.0-r2.apk
2024-10-25 20:54
12K
gr-satellites-dev-5.5.0-r6.apk
2025-10-12 12:22
12K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 20:54
12K
saait-doc-0.8-r0.apk
2024-10-25 20:54
12K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-12 15:52
12K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 20:54
12K
perl-barcode-zbar-doc-0.10-r4.apk
2025-06-30 09:24
12K
kubesplit-0.3.3-r1.apk
2024-10-25 20:49
12K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
12K
py3-crc16-0.1.1-r10.apk
2024-10-25 20:53
12K
rtptools-doc-1.22-r2.apk
2024-10-25 20:54
12K
clatd-1.6-r0.apk
2024-10-25 20:46
12K
perl-list-binarysearch-xs-0.09-r2.apk
2025-06-30 09:24
12K
emacs-llama-1.0.2-r0.apk
2025-11-04 15:22
12K
geonames-doc-0.3.1-r2.apk
2024-10-25 20:47
12K
nvimpager-0.12.0-r0.apk
2024-10-25 20:51
12K
py3-libiio-0.25-r2.apk
2024-10-25 20:54
12K
py3-discid-1.3.0-r0.apk
2025-07-28 21:30
12K
xosview-doc-1.24-r0.apk
2024-10-25 20:58
12K
perl-nice-try-doc-1.3.17-r0.apk
2025-07-19 17:52
12K
m2r2-0.3.3-r3.apk
2024-10-25 20:49
12K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 20:53
12K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 20:54
12K
enjoy-0.3-r1.apk
2024-10-25 20:47
12K
py3-queuelib-1.8.0-r0.apk
2025-09-01 18:14
12K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-06 22:31
12K
afew-doc-3.0.1-r0.apk
2025-05-18 22:33
12K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 20:53
12K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 20:53
12K
vim-airline-doc-0.11-r0.apk
2024-10-25 20:58
12K
perl-daemon-control-0.001010-r2.apk
2024-10-25 20:53
12K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 20:48
12K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:43
12K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-06 17:18
12K
py3-janus-1.2.0-r0.apk
2024-12-13 04:58
12K
py3-pymsteams-0.2.5-r0.apk
2025-02-18 22:22
12K
squeak-vm-doc-4.10.2.2614-r2.apk
2025-10-09 12:30
12K
turntable-lang-0.3.3-r0.apk
2025-05-27 13:02
12K
legume-doc-1.4.2-r14.apk
2025-12-04 22:24
12K
setroot-2.0.2-r1.apk
2024-10-25 20:56
12K
rgxg-doc-0.1.2-r2.apk
2024-10-25 20:54
12K
py3-itemloaders-1.3.2-r1.apk
2025-08-09 12:15
12K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 20:54
12K
espeakup-0.90-r2.apk
2024-10-25 20:47
12K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 20:54
12K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-04 22:24
12K
termbox-1.1.2-r1.apk
2024-10-25 20:57
12K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 02:03
12K
py3-rofi-1.0.1-r1.apk
2025-08-09 12:15
12K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 20:54
12K
ptylie-0.2-r2.apk
2025-05-14 17:30
12K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 20:53
12K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-16 17:24
12K
perl-log-message-doc-0.08-r3.apk
2024-10-25 20:53
12K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 13:29
12K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 14:57
12K
perl-file-changenotify-0.31-r0.apk
2025-03-20 16:06
12K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:25
12K
tdrop-0.5.0-r0.apk
2024-10-25 20:57
12K
php81-tokenizer-8.1.34-r0.apk
2025-12-17 02:37
12K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 20:53
12K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 20:53
12K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:55
12K
sdl3_image-dev-3.2.4-r0.apk
2025-03-24 20:40
12K
php82-tokenizer-8.2.30-r1.apk
2025-12-17 20:31
12K
hsetroot-1.0.5-r1.apk
2024-10-25 20:48
12K
pqiv-doc-2.12-r1.apk
2024-10-25 20:53
12K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 20:53
12K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 20:54
12K
libdng-0.2.1-r0.apk
2024-12-27 22:17
12K
py3-git-versioner-7.1-r1.apk
2024-10-25 20:54
12K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 20:54
12K
ovpncc-0.1_rc1-r0.apk
2024-10-25 20:53
12K
mint-y-icons-doc-1.8.9-r0.apk
2025-12-01 06:05
12K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:34
12K
xlhtml-0.5.1-r0.apk
2024-10-25 20:58
12K
perl-test-roo-1.004-r3.apk
2024-10-25 20:53
12K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
12K
minidyndns-1.3.0-r3.apk
2024-10-25 20:50
12K
py3-simber-0.2.6-r5.apk
2025-05-14 18:20
12K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 20:54
12K
nuzzle-1.6-r0.apk
2025-01-17 14:16
12K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 20:49
12K
perl-system-command-1.122-r0.apk
2024-10-25 20:53
12K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 20:48
12K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 20:53
12K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 20:53
12K
restart-services-0.17.0-r0.apk
2024-10-25 20:54
12K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 20:54
12K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 20:49
12K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-09 12:15
11K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-18 11:07
11K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 20:54
11K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 20:54
11K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 20:56
11K
extrace-0.9-r0.apk
2024-10-25 20:47
11K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 06:49
11K
py3-bson-0.5.10-r6.apk
2024-10-25 20:53
11K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:14
11K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 20:53
11K
octoprint-filecheck-pyc-2024.11.12-r0.apk
2025-07-12 15:31
11K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 21:38
11K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 20:41
11K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 20:45
11K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:42
11K
php81-xmlwriter-8.1.34-r0.apk
2025-12-17 02:37
11K
ddgr-doc-2.2-r0.apk
2024-10-25 20:46
11K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 20:53
11K
php82-xmlwriter-8.2.30-r1.apk
2025-12-17 20:31
11K
gnome-common-3.18.0-r3.apk
2024-10-25 20:47
11K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:39
11K
perl-x11-korgwm-doc-5.0-r0.apk
2025-12-13 07:35
11K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 04:28
11K
evolution-on-3.24.4-r1.apk
2025-10-03 08:43
11K
perl-xml-bare-doc-0.53-r14.apk
2025-06-30 09:24
11K
emacs-taxy-0.10.2-r0.apk
2025-04-23 05:43
11K
py3-halo-0.0.31-r5.apk
2024-10-25 20:54
11K
perl-set-infinite-doc-0.65-r0.apk
2025-07-21 08:48
11K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:54
11K
lizardfs-doc-3.13.0-r17.apk
2025-06-19 08:53
11K
py3-padacioso-0.2.1-r0.apk
2024-10-25 20:54
11K
perl-devel-confess-0.009004-r0.apk
2024-10-25 20:53
11K
libecap-dev-1.0.1-r1.apk
2024-10-25 20:49
11K
perl-test-file-1.995-r0.apk
2025-04-19 17:56
11K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 20:54
11K
dasht-doc-2.4.0-r0.apk
2024-10-25 20:46
11K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-20 16:06
11K
perl-math-libm-1.00-r15.apk
2025-06-30 09:24
11K
php81-posix-8.1.34-r0.apk
2025-12-17 02:37
11K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 11:52
11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 16:34
11K
php82-posix-8.2.30-r1.apk
2025-12-17 20:31
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 20:49
11K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 20:49
11K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 20:54
11K
pwvucontrol-lang-0.5.0-r0.apk
2025-10-20 19:58
11K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 20:49
11K
perl-math-random-doc-0.75-r0.apk
2025-10-03 08:45
11K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 20:51
11K
roll-doc-2.6.1-r1.apk
2025-10-13 15:53
11K
psi-notify-1.3.1-r0.apk
2024-10-25 20:53
11K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 20:53
11K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:34
11K
lomiri-content-hub-dev-2.2.1-r0.apk
2025-12-09 11:12
11K
lolcat-1.4-r0.apk
2024-10-25 20:49
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 20:54
11K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 20:54
11K
py3-pam-2.0.2-r2.apk
2024-10-25 20:54
11K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:20
11K
py3-vdf-3.4-r2.apk
2025-10-16 21:59
11K
lomiri-history-service-dev-0.6-r20.apk
2025-12-19 11:41
11K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 20:54
11K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 20:05
11K
neard-dev-0.19-r0.apk
2024-10-25 20:51
11K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:55
11K
fff-2.2-r0.apk
2024-10-25 20:47
11K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 09:40
11K
toapk-1.0-r0.apk
2024-10-25 20:57
11K
screenkey-doc-1.5-r7.apk
2025-07-22 20:46
11K
py3-blockchain-1.4.4-r7.apk
2024-10-25 20:53
11K
libtsm-dev-4.1.0-r0.apk
2025-07-08 06:04
11K
tick-1.2.3-r0.apk
2025-10-06 06:06
11K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 20:53
11K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 17:10
11K
thumbdrives-0.3.2-r2.apk
2024-10-25 20:57
11K
py3-rabbit-1.1.0-r8.apk
2024-10-25 20:54
11K
libfishsound-1.0.0-r1.apk
2024-10-25 20:49
11K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 20:51
11K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:25
11K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 20:54
11K
perl-ref-util-xs-0.117-r9.apk
2025-06-30 09:24
11K
perl-uri-db-0.23-r0.apk
2025-01-09 05:27
11K
libetebase-dev-0.5.8-r0.apk
2025-10-03 08:44
11K
py3-simple-websocket-1.1.0-r0.apk
2025-09-06 17:18
11K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-14 17:30
11K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
chasquid-doc-1.17.0-r0.apk
2025-12-19 15:57
11K
scenefx-dev-0.4.1-r0.apk
2025-12-21 03:53
11K
wch-isp-0.4.1-r2.apk
2024-10-25 20:58
11K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 14:19
11K
fatrace-0.18.0-r0.apk
2025-07-27 19:30
11K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 20:48
11K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-20 23:48
11K
py3-click-completion-0.5.2-r1.apk
2024-10-25 20:53
11K
nautilus-python-4.0.1-r0.apk
2025-10-12 21:54
11K
perl-xs-object-magic-0.05-r0.apk
2025-12-13 07:35
11K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 08:56
10K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 20:57
10K
snapper-dev-0.13.0-r0.apk
2025-10-03 08:45
10K
perl-wanted-doc-0.1.0-r0.apk
2025-08-09 12:15
10K
arj-doc-0_git20220125-r1.apk
2024-10-25 20:45
10K
perl-promise-es6-0.28-r0.apk
2024-10-25 20:53
10K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 20:54
10K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 22:58
10K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 20:54
10K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 08:00
10K
py3-pydes-2.0.1-r5.apk
2024-10-25 20:54
10K
clang-next-rtlib-atomic-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
10K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 20:54
10K
cgo-0.6.1-r1.apk
2024-10-25 20:46
10K
comrak-doc-0.49.0-r0.apk
2025-12-14 14:23
10K
qstardict-doc-2.0.2-r1.apk
2024-11-24 03:04
10K
py3-businesstime-0.3.0-r9.apk
2024-10-25 20:53
10K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:35
10K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 20:54
10K
perl-log-message-0.08-r3.apk
2024-10-25 20:53
10K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 20:54
10K
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 11:39
10K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 20:54
10K
ydcv-pyc-0.7-r8.apk
2024-10-25 20:58
10K
uclient-fetch-20241022-r0.apk
2025-05-29 22:00
10K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 20:54
10K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 20:53
10K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 20:54
10K
perl-math-int64-doc-0.57-r2.apk
2025-06-30 09:24
10K
libcotp-3.1.1-r0.apk
2025-10-03 22:25
10K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 20:54
10K
wpa_actiond-1.4-r7.apk
2024-10-25 20:58
10K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 16:55
10K
opkg-0.7.0-r0.apk
2024-10-25 20:53
10K
perl-log-fu-0.31-r4.apk
2024-10-25 20:53
10K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 20:53
10K
libsds-2.0.0-r1.apk
2024-10-25 20:49
10K
php81-bz2-8.1.34-r0.apk
2025-12-17 02:37
10K
php82-bz2-8.2.30-r1.apk
2025-12-17 20:31
10K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:31
10K
pick-4.0.0-r0.apk
2024-10-25 20:53
10K
nullmailer-doc-2.2-r4.apk
2024-10-25 20:51
10K
netsed-1.4-r0.apk
2025-08-18 10:16
10K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 20:53
10K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 20:51
10K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 20:53
10K
perl-text-worddiff-0.09-r0.apk
2025-06-09 16:26
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 20:53
10K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 20:54
10K
ifuse-1.1.4-r5.apk
2024-10-30 22:46
10K
perl-clipboard-0.32-r1.apk
2025-10-13 15:53
10K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:14
10K
emacs-embark-consult-1.1-r0.apk
2025-04-23 05:43
10K
lomiri-schemas-0.1.9-r0.apk
2025-10-03 08:44
10K
neko-dev-2.3.0-r0.apk
2024-11-20 22:27
10K
perl-pod-tidy-0.10-r1.apk
2024-10-25 20:53
10K
perl-data-clone-0.006-r1.apk
2025-06-30 09:24
10K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 20:54
10K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 12:57
10K
thunarx-python-0.5.2-r2.apk
2024-10-25 20:57
10K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-20 16:06
10K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:37
10K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 20:53
10K
alttab-doc-1.7.1-r0.apk
2024-10-25 20:45
10K
perl-full-doc-1.004-r0.apk
2024-10-25 20:53
10K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 20:54
10K
linuxkit-doc-1.8.2-r1.apk
2025-12-04 22:24
10K
shntool-doc-3.0.10-r5.apk
2025-02-24 21:25
10K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 17:33
10K
py3-glob2-0.7-r6.apk
2024-10-25 20:54
10K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-08 12:40
10K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 20:45
10K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 15:46
10K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 20:54
9.9K
py3-bottle-session-1.0-r6.apk
2024-10-25 20:53
9.9K
perl-system-command-doc-1.122-r0.apk
2024-10-25 20:53
9.9K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-09 09:09
9.9K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-24 02:16
9.9K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 15:46
9.9K
libuecc-7-r4.apk
2025-03-03 16:06
9.9K
knative-client-bash-completion-1.19.6-r1.apk
2025-12-04 22:24
9.9K
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-06 11:45
9.9K
cobang-lang-1.8.1-r0.apk
2025-10-23 05:12
9.9K
dsp-doc-2.0-r2.apk
2025-10-03 08:43
9.9K
nsnake-3.0.0-r0.apk
2024-10-25 20:51
9.9K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 20:53
9.9K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:51
9.8K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 20:54
9.8K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 20:45
9.8K
flowd-doc-0.9.1-r11.apk
2025-06-30 09:24
9.8K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 20:54
9.8K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 14:57
9.8K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 20:53
9.8K
py3-uptime-3.0.1-r9.apk
2024-10-25 20:54
9.8K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 17:10
9.8K
perl-syntax-operator-in-0.10-r1.apk
2025-06-30 09:24
9.8K
py3-minidb-2.0.8-r0.apk
2024-11-13 18:52
9.8K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 20:53
9.8K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-05 11:04
9.8K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
9.8K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 20:54
9.8K
perl-starman-doc-0.4017-r0.apk
2024-10-25 20:53
9.7K
cargo-show-asm-doc-0.2.53-r0.apk
2025-10-30 00:23
9.7K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-25 13:01
9.7K
perl-term-ui-0.50-r1.apk
2024-10-25 20:53
9.7K
noice-0.8-r1.apk
2024-10-25 20:51
9.7K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 20:51
9.7K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-25 13:01
9.7K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 20:54
9.7K
console_bridge-1.0.2-r0.apk
2024-10-25 20:46
9.7K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 20:53
9.7K
perl-netaddr-mac-0.99-r0.apk
2025-12-21 07:47
9.7K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 07:45
9.7K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 20:53
9.7K
perl-net-async-redis-xs-1.001-r2.apk
2025-06-30 09:24
9.7K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 20:53
9.7K
handlebars-utils-1.0.0-r1.apk
2024-10-25 20:48
9.7K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 20:54
9.7K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 20:54
9.7K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 20:54
9.7K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 20:49
9.7K
perl-digest-crc-0.24-r2.apk
2025-06-30 09:24
9.6K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 20:45
9.6K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 20:53
9.6K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 20:54
9.6K
py3-pcbnewtransition-pyc-0.5.2-r0.apk
2025-07-12 15:21
9.6K
pneink-theme-1.3-r0.apk
2025-07-30 00:51
9.6K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 20:54
9.6K
pokoy-0.2.5-r0.apk
2024-10-25 20:53
9.6K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 20:53
9.6K
perl-freezethaw-0.5001-r3.apk
2025-10-18 10:33
9.6K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:35
9.6K
perl-hash-ordered-0.014-r0.apk
2024-10-25 20:53
9.5K
endlessh-1.1-r1.apk
2025-05-25 07:56
9.5K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 20:53
9.5K
perl-data-visitor-0.32-r0.apk
2025-03-19 14:53
9.5K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 20:46
9.5K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 20:54
9.5K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-09 12:15
9.5K
py3-itunespy-1.6-r5.apk
2025-05-14 18:20
9.5K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 20:53
9.4K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 20:54
9.4K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 20:49
9.4K
rygel-doc-45.0-r1.apk
2025-11-18 18:34
9.4K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:34
9.4K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 20:54
9.4K
nbsdgames-doc-5-r0.apk
2024-10-25 20:51
9.4K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 20:54
9.4K
irccd-dev-4.0.3-r0.apk
2024-10-25 20:48
9.4K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 20:45
9.4K
perl-future-q-0.120-r0.apk
2024-10-25 20:53
9.3K
atool-doc-0.39.0-r4.apk
2024-10-25 20:45
9.3K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-24 02:16
9.3K
rsstail-2.2-r0.apk
2025-02-13 07:17
9.3K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 20:53
9.3K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 20:54
9.3K
perl-minion-backend-pg-11.0-r0.apk
2025-08-27 09:26
9.3K
icesprog-0_git20240108-r1.apk
2024-10-25 20:48
9.3K
afetch-2.2.0-r1.apk
2024-10-25 20:45
9.3K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 01:01
9.3K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 20:54
9.3K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 20:54
9.3K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 20:54
9.3K
perl-b-utils-doc-0.27-r1.apk
2025-06-30 09:24
9.3K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 20:54
9.2K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 17:13
9.2K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 06:01
9.2K
sxcs-1.1.0-r0.apk
2024-10-25 20:57
9.2K
perl-color-rgb-util-0.609-r0.apk
2025-03-19 13:45
9.2K
perl-class-c3-0.35-r1.apk
2024-10-25 20:53
9.2K
fxload-2008.10.13-r0.apk
2025-12-07 00:00
9.2K
py3-intervals-0.9.2-r5.apk
2024-10-25 20:54
9.2K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.2K
apt-mirror-0.5.4-r0.apk
2024-10-25 20:45
9.2K
avarice-doc-2.14-r4.apk
2024-10-25 20:45
9.2K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:51
9.2K
visidata-zsh-completion-3.3-r0.apk
2025-10-03 08:45
9.2K
pamtester-0.1.2-r4.apk
2024-10-25 20:53
9.2K
py3-natpmp-1.3.2-r1.apk
2024-10-25 20:54
9.2K
x11docker-doc-7.6.0-r1.apk
2024-10-25 20:58
9.1K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 20:54
9.1K
wiremix-doc-0.7.0-r0.apk
2025-08-22 12:02
9.1K
zot-doc-2.1.8-r2.apk
2025-12-04 22:25
9.1K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 20:53
9.1K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 20:54
9.1K
perl-musicbrainz-discid-0.06-r2.apk
2025-06-30 09:24
9.1K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-10-03 08:45
9.1K
supermin-doc-5.2.2-r2.apk
2024-10-25 20:57
9.1K
sflowtool-doc-6.02-r0.apk
2024-10-25 20:56
9.1K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 20:54
9.1K
py3-protego-0.3.1-r0.apk
2024-11-30 20:42
9.1K
kerberoast-0.2.0-r2.apk
2025-05-29 12:09
9.1K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 20:54
9.1K
t2sz-1.1.2-r0.apk
2024-10-25 20:57
9.1K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 20:53
9.1K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:08
9.0K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:54
9.0K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 20:49
9.0K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 20:53
9.0K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 20:49
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 20:54
9.0K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 20:48
9.0K
imrsh-0_git20210320-r1.apk
2024-10-25 20:48
9.0K
catdoc-doc-0.95-r1.apk
2024-10-25 20:45
9.0K
fff-doc-2.2-r0.apk
2024-10-25 20:47
9.0K
perl-future-http-0.17-r0.apk
2024-10-25 20:53
9.0K
freediameter-1.5.0-r1.apk
2024-10-25 20:47
9.0K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 20:54
9.0K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 20:53
9.0K
libretro-gong-0_git20220319-r0.apk
2024-10-25 20:49
9.0K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 20:49
9.0K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 20:49
9.0K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 20:53
9.0K
mod_dnssd-0.6-r1.apk
2025-08-09 12:15
9.0K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 20:54
9.0K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 20:49
8.9K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 20:54
8.9K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 16:55
8.9K
md5ha1-0_git20171202-r1.apk
2024-10-25 20:50
8.9K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 20:54
8.9K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
8.9K
hurl-doc-7.1.0-r0.apk
2025-12-05 18:42
8.9K
py3-translationstring-1.4-r4.apk
2024-10-25 20:54
8.9K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 20:54
8.9K
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-18 14:23
8.9K
lua-inet-0.2.0-r1.apk
2024-10-25 20:49
8.9K
click-dev-0.5.2-r4.apk
2025-02-18 22:21
8.9K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:59
8.9K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 20:54
8.9K
perl-future-q-doc-0.120-r0.apk
2024-10-25 20:53
8.9K
tdrop-doc-0.5.0-r0.apk
2024-10-25 20:57
8.9K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 20:49
8.9K
rofi-pass-2.0.2-r2.apk
2024-10-25 20:54
8.8K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 20:53
8.8K
ccze-doc-0.2.1-r1.apk
2024-10-25 20:45
8.8K
p910nd-0.97-r2.apk
2024-10-25 20:53
8.8K
py3-dweepy-0.3.0-r7.apk
2024-10-25 20:54
8.8K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 20:53
8.8K
duc-doc-1.4.5-r0.apk
2024-10-25 20:46
8.8K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 08:56
8.8K
gatling-doc-0.16-r6.apk
2024-10-25 20:47
8.8K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 20:53
8.8K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 20:50
8.8K
bindfs-doc-1.17.7-r1.apk
2025-06-19 08:53
8.8K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 07:57
8.8K
innernet-doc-1.6.1-r0.apk
2024-10-25 20:48
8.8K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 20:56
8.8K
perl-number-format-doc-1.76-r1.apk
2024-10-25 20:53
8.7K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 20:54
8.7K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 20:53
8.7K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 07:57
8.7K
pspp-doc-2.0.1-r1.apk
2025-10-06 11:33
8.7K
terminology-doc-1.14.0-r0.apk
2025-05-27 23:25
8.7K
jdupes-doc-1.28.0-r0.apk
2024-10-25 20:48
8.7K
perl-object-pad-fieldattr-checked-0.12-r1.apk
2025-06-30 09:24
8.7K
lld-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
8.7K
perl-guard-1.023-r10.apk
2025-06-30 09:24
8.7K
py3-keepalive-0.5-r5.apk
2024-10-25 20:54
8.7K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 14:57
8.7K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 20:54
8.7K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 20:53
8.7K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 04:19
8.7K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 20:54
8.7K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 20:54
8.7K
logc-0.5.0-r1.apk
2025-06-13 14:15
8.7K
php81-enchant-8.1.34-r0.apk
2025-12-17 02:37
8.7K
finger-0.5-r0.apk
2024-10-25 20:47
8.7K
php82-enchant-8.2.30-r1.apk
2025-12-17 20:31
8.7K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:22
8.7K
perl-autobox-doc-3.0.2-r1.apk
2025-06-30 09:24
8.6K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 20:57
8.6K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:05
8.6K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:05
8.6K
perl-string-escape-2010.002-r0.apk
2025-03-28 16:14
8.6K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 20:53
8.6K
fatresize-1.1.0-r1.apk
2024-10-25 20:47
8.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 20:54
8.6K
libucl-doc-0.9.0-r0.apk
2024-10-25 20:49
8.6K
py3-phpserialize-1.3-r8.apk
2024-10-25 20:54
8.6K
perl-future-asyncawait-hooks-0.02-r1.apk
2025-06-30 09:24
8.6K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 20:53
8.6K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 20:53
8.6K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 20:53
8.6K
ipp-usb-doc-0.9.30-r5.apk
2025-12-04 22:24
8.6K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 20:54
8.6K
libirecovery-progs-1.3.0-r0.apk
2025-10-06 17:41
8.6K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 20:54
8.6K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 20:53
8.5K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:05
8.5K
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 20:51
8.5K
vcsh-2.0.5-r0.apk
2024-10-25 20:58
8.5K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 20:54
8.5K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 20:45
8.5K
perl-promise-xs-doc-0.20-r2.apk
2025-06-30 09:24
8.5K
microsocks-1.0.5-r0.apk
2025-12-21 23:53
8.5K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 20:48
8.5K
perl-constant-generate-0.17-r5.apk
2024-10-25 20:53
8.5K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 20:54
8.5K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 20:53
8.5K
py3-certauth-1.3.0-r1.apk
2024-10-25 20:53
8.4K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 20:54
8.4K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 20:58
8.4K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-20 16:06
8.4K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 20:53
8.4K
perl-sort-naturally-1.03-r4.apk
2024-10-25 20:53
8.4K
daktilo-doc-0.6.0-r0.apk
2024-10-25 20:46
8.4K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:14
8.4K
quodlibet-doc-4.7.1-r0.apk
2025-10-03 08:45
8.4K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 20:46
8.4K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 20:46
8.4K
btpd-doc-0.16-r2.apk
2024-10-25 20:45
8.4K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 20:57
8.4K
perl-openapi-client-1.07-r0.apk
2024-10-25 20:53
8.4K
sqruff-doc-0.25.26-r0.apk
2025-04-13 20:33
8.4K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 20:49
8.4K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 20:54
8.4K
buf-bash-completion-1.59.0-r1.apk
2025-12-04 22:22
8.4K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 20:54
8.4K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 20:54
8.4K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 01:20
8.3K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 05:40
8.3K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 09:32
8.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 20:54
8.3K
logc-dev-0.5.0-r1.apk
2025-06-13 14:15
8.3K
perl-net-netmask-doc-2.0003-r0.apk
2025-05-18 04:10
8.3K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-19 13:45
8.3K
fungw-c-1.2.2-r0.apk
2025-10-03 08:43
8.3K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 20:54
8.3K
formiko-doc-1.5.0-r0.apk
2025-08-15 09:06
8.3K
pounce-doc-3.1-r4.apk
2025-09-12 22:24
8.3K
agrep-0.8.0-r2.apk
2024-10-25 20:45
8.3K
py3-columnize-0.3.11-r4.apk
2024-10-25 20:53
8.3K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 20:54
8.3K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.3K
cargo-update-doc-18.0.0-r0.apk
2025-10-28 07:26
8.2K
lgogdownloader-doc-3.16-r1.apk
2025-03-25 15:24
8.2K
wput-doc-0.6.2-r4.apk
2024-10-25 20:58
8.2K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 20:53
8.2K
perl-data-checks-doc-0.11-r0.apk
2025-11-26 08:43
8.2K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 20:56
8.2K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 20:47
8.2K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:43
8.2K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.2K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:43
8.2K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 20:53
8.2K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 20:53
8.2K
perl-syntax-operator-equ-0.10-r1.apk
2025-06-30 09:24
8.2K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 20:54
8.2K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 20:49
8.2K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 20:54
8.1K
limnoria-doc-20240828-r0.apk
2024-10-25 20:49
8.1K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 20:53
8.1K
cdba-1.0-r2.apk
2024-10-25 20:45
8.1K
perl-math-random-isaac-xs-1.004-r9.apk
2025-06-30 09:24
8.1K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 05:27
8.1K
harminv-1.4.2-r1.apk
2024-10-25 20:48
8.1K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 20:54
8.1K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 20:53
8.1K
py3-junit-xml-1.9-r3.apk
2024-10-25 20:54
8.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 20:54
8.1K
perl-data-visitor-doc-0.32-r0.apk
2025-03-19 14:53
8.0K
disfetch-3.7-r0.apk
2024-10-25 20:46
8.0K
queercat-1.0.0-r0.apk
2024-10-25 20:54
8.0K
libjodycode-3.1.1-r0.apk
2024-10-25 20:49
8.0K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 20:53
8.0K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 20:56
8.0K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 20:54
8.0K
perl-signature-attribute-checked-0.06-r1.apk
2025-06-30 09:24
8.0K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 20:45
8.0K
php81-pspell-8.1.34-r0.apk
2025-12-17 02:37
8.0K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 20:54
8.0K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 20:54
8.0K
stw-0.3-r0.apk
2024-10-25 20:57
8.0K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 20:54
8.0K
serie-doc-0.5.5-r0.apk
2025-12-10 01:54
7.9K
php82-pspell-8.2.30-r1.apk
2025-12-17 20:31
7.9K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
7.9K
ruby-dry-inflector-1.2.0-r0.apk
2025-10-21 04:16
7.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 20:05
7.9K
enlighten-0.9.2-r1.apk
2024-10-25 20:47
7.9K
wol-lang-0.7.1-r3.apk
2024-10-25 20:58
7.9K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 20:54
7.9K
perl-list-binarysearch-xs-doc-0.09-r2.apk
2025-06-30 09:24
7.9K
perl-adapter-async-0.019-r0.apk
2024-10-25 20:53
7.9K
exabgp-doc-4.2.24-r1.apk
2025-09-06 17:16
7.8K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 20:58
7.8K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 20:49
7.8K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-21 07:47
7.8K
flowd-dev-0.9.1-r11.apk
2025-06-30 09:24
7.8K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:52
7.8K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 20:54
7.8K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 20:54
7.8K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:51
7.8K
perl-text-table-any-0.117-r0.apk
2024-10-25 20:53
7.8K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:23
7.8K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
7.8K
php82-sysvmsg-8.2.30-r1.apk
2025-12-17 20:31
7.8K
petitboot-doc-1.15-r0.apk
2025-11-15 00:54
7.8K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 20:53
7.8K
hiprompt-gtk-py-0.8.0-r1.apk
2025-10-03 08:43
7.8K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 20:49
7.8K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 20:58
7.8K
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 20:51
7.8K
rocm-core-6.4.3-r0.apk
2025-08-18 11:32
7.8K
perl-throwable-doc-1.001-r1.apk
2024-10-25 20:53
7.7K
nfoview-doc-2.1-r0.apk
2025-04-13 10:30
7.7K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 20:53
7.7K
py3-simplematch-1.4-r1.apk
2024-10-25 20:54
7.7K
boinc-doc-7.24.3-r0.apk
2024-10-25 20:45
7.7K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:40
7.7K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 20:54
7.7K
hyperrogue-doc-13.1i-r0.apk
2025-12-19 03:53
7.7K
mm-1.4.2-r1.apk
2024-10-25 20:51
7.7K
timoni-bash-completion-0.23.0-r10.apk
2025-12-04 22:25
7.7K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 21:05
7.7K
py3-clickclick-20.10.2-r4.apk
2024-10-25 20:53
7.7K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 21:05
7.7K
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 07:57
7.7K
perl-bsd-resource-doc-1.2911-r11.apk
2025-06-30 09:24
7.7K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 20:54
7.7K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 20:54
7.7K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 20:47
7.7K
pure-doc-1.23.0-r0.apk
2025-10-20 13:52
7.7K
jhead-doc-3.08-r0.apk
2024-10-25 20:48
7.6K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 20:54
7.6K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 20:54
7.6K
perl-xml-tokeparser-0.05-r0.apk
2025-12-13 07:35
7.6K
py3-pcbnewtransition-0.5.2-r0.apk
2025-07-12 15:21
7.6K
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 06:05
7.6K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.6K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 20:45
7.6K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 06:01
7.6K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 20:45
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 20:54
7.6K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 20:54
7.6K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 20:53
7.6K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 20:53
7.6K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-09 11:12
7.6K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 20:46
7.6K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 11:39
7.6K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-06-30 09:24
7.6K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:43
7.6K
perl-cgi-struct-1.21-r0.apk
2025-03-14 17:36
7.6K
perl-string-escape-doc-2010.002-r0.apk
2025-03-28 16:14
7.6K
bchunk-1.2.2-r3.apk
2024-10-25 20:45
7.6K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 08:53
7.6K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 20:53
7.6K
runst-doc-0.2.0-r0.apk
2025-11-15 00:54
7.6K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 20:54
7.6K
fungw-dev-1.2.2-r0.apk
2025-10-03 08:43
7.6K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-24 02:16
7.5K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 20:51
7.5K
cliquer-1.23-r0.apk
2025-08-12 04:15
7.5K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-09 21:13
7.5K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 20:53
7.5K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 14:57
7.5K
perl-string-compare-constanttime-0.321-r7.apk
2025-06-30 09:24
7.5K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 20:58
7.5K
perl-test-distribution-2.00-r1.apk
2024-10-25 20:53
7.5K
cscope-doc-15.9-r1.apk
2024-10-25 20:46
7.5K
php81-sysvmsg-8.1.34-r0.apk
2025-12-17 02:37
7.5K
haxe-doc-4.3.3-r1.apk
2025-03-22 14:44
7.5K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 14:09
7.5K
py3-sstash-0.17-r9.apk
2024-10-25 20:54
7.5K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 20:45
7.5K
arc-xfwm-20221218-r0.apk
2024-10-25 20:45
7.4K
mat2-doc-0.13.5-r0.apk
2025-10-03 08:44
7.4K
cargo-geiger-doc-0.13.0-r0.apk
2025-10-10 17:35
7.4K
linux-gpib-dev-4.3.7-r0.apk
2025-12-07 00:00
7.4K
opkg-doc-0.7.0-r0.apk
2024-10-25 20:53
7.4K
geomyidae-doc-0.34-r2.apk
2024-10-25 20:47
7.4K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 20:54
7.4K
perl-email-abstract-3.010-r0.apk
2024-10-25 20:53
7.4K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 20:54
7.4K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 20:54
7.4K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 20:53
7.4K
gmic-dev-3.6.0-r1.apk
2025-10-25 09:01
7.4K
saait-0.8-r0.apk
2024-10-25 20:54
7.4K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 20:54
7.4K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 20:54
7.4K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 20:53
7.3K
msgpuck-doc-2.0-r1.apk
2024-10-25 20:51
7.3K
py3-notifymail-1.1-r8.apk
2024-10-25 20:54
7.3K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 20:49
7.3K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:14
7.3K
py3-eradicate-2.3.0-r2.apk
2024-10-25 20:54
7.3K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 20:54
7.3K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 20:54
7.3K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 20:54
7.3K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 10:58
7.3K
gamemode-doc-1.8.2-r0.apk
2025-02-05 23:41
7.3K
perl-file-rename-2.02-r0.apk
2024-10-25 20:53
7.3K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 20:53
7.3K
xload-1.1.4-r0.apk
2024-10-25 20:58
7.3K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:51
7.3K
ffms2-dev-5.0-r2.apk
2025-10-03 08:43
7.3K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 20:53
7.3K
aqemu-doc-0.9.4-r3.apk
2024-10-25 20:45
7.3K
wakeonlan-doc-0.42-r0.apk
2024-10-25 20:58
7.3K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:14
7.3K
perl-ryu-async-0.020-r0.apk
2024-10-25 20:53
7.2K
cargo-udeps-doc-0.1.59-r0.apk
2025-10-03 08:43
7.2K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 20:54
7.2K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 20:54
7.2K
cliquer-dev-1.23-r0.apk
2025-08-12 04:15
7.2K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 20:54
7.2K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 20:53
7.2K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 20:53
7.2K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:53
7.2K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 20:46
7.2K
perl-xml-descent-1.04-r0.apk
2025-12-13 07:35
7.2K
py3-more-properties-1.1.1-r3.apk
2024-10-25 20:54
7.2K
perl-string-crc32-2.100-r5.apk
2025-06-30 09:24
7.2K
ufw-docker-250710-r0.apk
2025-09-14 06:52
7.2K
perl-devel-leak-0.03-r14.apk
2025-06-30 09:24
7.2K
git2json-0.2.3-r8.apk
2024-10-25 20:47
7.2K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-20 16:06
7.2K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 20:53
7.1K
perl-constant-defer-6-r5.apk
2024-10-25 20:53
7.1K
py3-python-archive-0.2-r7.apk
2024-10-25 20:54
7.1K
py3-ntplib-0.4.0-r5.apk
2024-10-25 20:54
7.1K
py3-proglog-0.1.10-r2.apk
2024-10-25 20:54
7.1K
n30f-2.0-r3.apk
2024-10-25 20:51
7.1K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 08:53
7.1K
perl-astro-pal-doc-1.09-r0.apk
2025-07-21 08:48
7.1K
perl-color-rgb-util-doc-0.609-r0.apk
2025-03-19 13:45
7.1K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:33
7.1K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 20:53
7.1K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-12 20:47
7.1K
adjtimex-doc-1.29-r0.apk
2024-10-25 20:45
7.1K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 20:54
7.1K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 20:46
7.1K
jbigkit-doc-2.1-r2.apk
2024-10-25 20:48
7.1K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 00:21
7.1K
py3-colorthief-0.2.1-r1.apk
2024-10-25 20:53
7.1K
cpiped-0.1.0-r0.apk
2024-10-25 20:46
7.1K
keystone-dev-0.9.2-r6.apk
2024-10-25 20:49
7.0K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 20:53
7.0K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 20:53
7.0K
advancescan-doc-1.18-r1.apk
2024-10-25 20:45
7.0K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 20:53
7.0K
aggregate6-1.0.14-r0.apk
2025-10-14 11:05
7.0K
bkt-doc-0.8.0-r0.apk
2024-10-25 20:45
7.0K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:31
7.0K
py3-prctl-pyc-1.8.1-r0.apk
2025-10-20 13:52
7.0K
xcape-1.2-r1.apk
2025-05-14 18:20
7.0K
sydbox-vim-3.45.2-r0.apk
2025-12-03 02:59
7.0K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 20:53
7.0K
pptpclient-doc-1.10.0-r6.apk
2025-06-30 09:24
6.9K
litterbox-doc-1.9-r2.apk
2025-09-12 22:24
6.9K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 20:54
6.9K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 20:53
6.9K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 20:53
6.9K
perl-graphql-client-0.605-r0.apk
2024-10-25 20:53
6.9K
pmccabe-doc-2.8-r1.apk
2024-10-25 20:53
6.9K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 20:54
6.9K
perl-xml-descent-doc-1.04-r0.apk
2025-12-13 07:35
6.9K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 20:51
6.9K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:53
6.9K
perl-full-1.004-r0.apk
2024-10-25 20:53
6.9K
boxes-doc-2.3.1-r0.apk
2024-10-25 20:45
6.9K
perl-test-expander-2.5.1-r0.apk
2024-10-25 20:53
6.9K
perl-b-hooks-op-check-0.22-r1.apk
2025-06-30 09:24
6.8K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:14
6.8K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 12:49
6.8K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 20:54
6.8K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 20:54
6.8K
spacectl-fish-completion-1.12.0-r6.apk
2025-12-04 22:25
6.8K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 11:56
6.8K
perl-uri-fetch-0.15-r0.apk
2024-10-25 20:53
6.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 20:53
6.8K
upterm-doc-0.17.0-r1.apk
2025-12-04 22:25
6.8K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-12 20:47
6.8K
lua5.1-psl-0.3-r0.apk
2024-10-25 20:49
6.8K
oils-for-unix-doc-0.35.0-r0.apk
2025-10-03 08:44
6.8K
php82-sysvshm-8.2.30-r1.apk
2025-12-17 20:31
6.8K
tmpmail-1.2.3-r2.apk
2024-10-25 20:57
6.8K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 20:49
6.8K
json2tsv-1.2-r0.apk
2024-10-25 20:48
6.8K
php81-sysvshm-8.1.34-r0.apk
2025-12-17 02:37
6.7K
rankwidth-0.9-r4.apk
2025-10-28 02:22
6.7K
oil-doc-0.21.0-r0.apk
2024-10-25 20:52
6.7K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 20:53
6.7K
serialdv-1.1.5-r0.apk
2025-10-24 20:26
6.7K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 20:54
6.7K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-24 02:16
6.7K
nkk-doc-0_git20221010-r0.apk
2024-10-25 20:51
6.7K
py3-pytap2-2.3.0-r0.apk
2024-10-25 20:54
6.7K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 20:54
6.7K
lua5.3-psl-0.3-r0.apk
2024-10-25 20:49
6.7K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-24 02:16
6.7K
perl-object-array-doc-0.060-r0.apk
2024-10-25 20:53
6.7K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 20:54
6.7K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 12:51
6.7K
perl-time-timegm-0.01-r10.apk
2025-06-30 09:24
6.7K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-14 17:29
6.7K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 20:53
6.7K
perl-constant-defer-doc-6-r5.apk
2024-10-25 20:53
6.7K
spvm-math-doc-1.006-r1.apk
2025-06-30 09:24
6.7K
isoinfo-0_git20131217-r1.apk
2024-10-25 20:48
6.7K
lua5.2-psl-0.3-r0.apk
2024-10-25 20:49
6.7K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 20:53
6.7K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 20:53
6.7K
perl-xml-tokeparser-doc-0.05-r0.apk
2025-12-13 07:35
6.6K
game-devices-udev-0.25-r0.apk
2025-10-23 05:04
6.6K
tui-journal-doc-0.10.0-r0.apk
2024-10-25 20:58
6.6K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:45
6.6K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 20:46
6.6K
halp-doc-0.2.0-r0.apk
2024-10-25 20:48
6.6K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 20:48
6.6K
py3-banal-1.0.6-r4.apk
2024-10-25 20:53
6.6K
perl-cgi-expand-2.05-r4.apk
2024-10-25 20:53
6.6K
pixi-doc-0.24.2-r0.apk
2024-10-25 20:53
6.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 20:54
6.6K
perl-x-tiny-0.22-r0.apk
2024-10-25 20:53
6.6K
reaction-tools-2.2.1-r0.apk
2025-10-03 08:45
6.6K
py3-playsound-1.3.0-r1.apk
2024-10-25 20:54
6.6K
amiitool-2-r2.apk
2024-10-25 20:45
6.6K
deadbeef-soxr-20180801-r0.apk
2024-10-25 20:46
6.6K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:51
6.6K
termcolor-dev-2.1.0-r0.apk
2024-10-25 20:57
6.6K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 20:54
6.6K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:43
6.6K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 20:53
6.6K
fbcur-1.0.1-r1.apk
2024-10-25 20:47
6.6K
pwauth-doc-2.3.11-r2.apk
2024-10-25 20:53
6.5K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-13 15:53
6.5K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:56
6.5K
kompose-zsh-completion-1.31.2-r15.apk
2025-12-04 22:24
6.5K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 17:36
6.5K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 20:53
6.5K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 20:54
6.5K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 20:54
6.5K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 05:40
6.5K
zarchive-dev-0.1.2-r2.apk
2024-10-25 20:58
6.5K
gmsh-py-4.15.0-r0.apk
2025-11-04 15:23
6.5K
perl-test-files-0.26-r0.apk
2024-10-25 20:53
6.5K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 20:54
6.5K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:20
6.5K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 20:54
6.5K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 16:47
6.5K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:52
6.5K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 20:53
6.4K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 20:47
6.4K
debconf-utils-1.5.82-r0.apk
2024-10-25 20:46
6.4K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 20:53
6.4K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 20:53
6.4K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 07:45
6.4K
melange-bash-completion-0.37.0-r0.apk
2025-12-16 17:24
6.4K
apk-snap-3.1.1-r0.apk
2024-10-25 20:45
6.4K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 20:54
6.4K
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-18 14:23
6.4K
ruby-path_expander-2.0.0-r0.apk
2025-12-15 18:37
6.4K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 20:54
6.4K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 20:46
6.4K
river-shifttags-0.2.1-r1.apk
2025-05-14 17:30
6.4K
lspmux-doc-0.3.0-r0.apk
2025-11-05 15:07
6.4K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 20:54
6.4K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 20:48
6.3K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 20:54
6.3K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 11:32
6.3K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 20:46
6.3K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 20:53
6.3K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 20:46
6.3K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-06-30 09:24
6.3K
watchbind-doc-0.2.1-r1.apk
2024-10-25 20:58
6.3K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 20:53
6.3K
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 08:52
6.3K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-18 22:22
6.3K
imediff-doc-2.6-r1.apk
2024-10-25 20:48
6.3K
symlinks-1.4.3-r0.apk
2025-04-21 21:27
6.3K
lol-html-dev-1.1.1-r1.apk
2024-10-25 20:49
6.3K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 20:53
6.3K
lsix-1.8.2-r0.apk
2024-10-25 20:49
6.3K
libdng-utils-0.2.1-r0.apk
2024-12-27 22:17
6.2K
repgrep-doc-0.15.0-r0.apk
2024-10-25 20:54
6.2K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 14:57
6.2K
plfit-dev-1.0.1-r0.apk
2025-01-04 03:48
6.2K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 20:46
6.2K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:23
6.2K
perl-devel-refcount-0.10-r2.apk
2025-06-30 09:24
6.2K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-06 11:45
6.2K
perl-check-unitcheck-0.13-r2.apk
2025-06-30 09:24
6.2K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:18
6.2K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 20:53
6.2K
perl-data-section-0.200008-r0.apk
2025-07-07 17:03
6.2K
libbamf-dev-0.5.6-r1.apk
2024-10-25 20:49
6.2K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:51
6.2K
perl-indirect-doc-0.39-r2.apk
2025-06-30 09:24
6.1K
mlxl-0.1-r0.apk
2024-10-25 20:51
6.1K
rss-email-doc-0.5.1-r0.apk
2025-08-10 11:21
6.1K
perl-bareword-filehandles-0.007-r1.apk
2025-06-30 09:24
6.1K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 20:53
6.1K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:45
6.1K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 20:53
6.1K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 20:54
6.1K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 20:54
6.1K
perl-http-xsheaders-doc-0.400005-r2.apk
2025-06-30 09:24
6.1K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 20:53
6.1K
py3-click-threading-0.5.0-r5.apk
2024-10-25 20:53
6.1K
php81-shmop-8.1.34-r0.apk
2025-12-17 02:37
6.1K
bgpq4-doc-1.15-r0.apk
2024-10-25 20:45
6.1K
schismtracker-doc-20251014-r0.apk
2025-10-24 23:51
6.1K
php82-shmop-8.2.30-r1.apk
2025-12-17 20:31
6.1K
libbloom-2.0-r0.apk
2024-10-25 20:49
6.1K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 21:38
6.0K
dnote-doc-0.16.0-r0.apk
2025-12-10 19:01
6.0K
raku-inline-perl5-doc-0.60_git20250327-r1.apk
2025-08-29 08:25
6.0K
py3-pbkdf2-1.3-r7.apk
2024-10-25 20:54
6.0K
perl-net-patricia-doc-1.24-r0.apk
2025-11-20 15:48
6.0K
herbe-1.0.0-r0.apk
2024-10-25 20:48
6.0K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 20:54
6.0K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 20:54
6.0K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 20:54
6.0K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 21:16
6.0K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:42
6.0K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 20:54
6.0K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:33
6.0K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:43
6.0K
perl-throwable-1.001-r1.apk
2024-10-25 20:53
6.0K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 20:53
6.0K
neofetch-doc-7.1.0-r2.apk
2024-11-06 21:47
5.9K
grip-doc-4.2.4-r0.apk
2024-10-25 20:48
5.9K
swhkd-doc-1.2.1-r0.apk
2024-10-25 20:57
5.9K
py3-doi-0.2-r0.apk
2025-04-12 12:09
5.9K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 20:53
5.9K
perl-ppi-xs-0.910-r2.apk
2025-06-30 09:24
5.9K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 06:32
5.9K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 20:53
5.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 20:54
5.9K
perl-email-reply-1.204-r5.apk
2024-10-25 20:53
5.9K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 20:54
5.9K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
5.9K
virtualgl-dev-3.1.4-r0.apk
2025-10-09 00:04
5.9K
ticker-bash-completion-5.0.7-r7.apk
2025-12-04 22:25
5.9K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 20:46
5.9K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:20
5.9K
php81-sysvsem-8.1.34-r0.apk
2025-12-17 02:37
5.9K
helmfile-bash-completion-1.1.8-r1.apk
2025-12-04 22:24
5.9K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 20:53
5.9K
php82-gettext-8.2.30-r1.apk
2025-12-17 20:31
5.9K
virter-bash-completion-0.29.0-r5.apk
2025-12-04 22:25
5.8K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 20:54
5.8K
py3-rst-pyc-0.1-r9.apk
2024-10-25 20:54
5.8K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 20:53
5.8K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 20:54
5.8K
regal-bash-completion-0.36.1-r1.apk
2025-12-04 22:24
5.8K
glow-bash-completion-2.1.1-r5.apk
2025-12-04 22:23
5.8K
tenv-bash-completion-4.9.0-r1.apk
2025-12-04 22:25
5.8K
wgcf-bash-completion-2.2.29-r1.apk
2025-12-04 22:25
5.8K
semaphoreui-bash-completion-2.16.37-r1.apk
2025-12-04 22:25
5.8K
zot-cli-bash-completion-2.1.8-r2.apk
2025-12-04 22:25
5.8K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 20:54
5.8K
py3-spinners-0.0.24-r5.apk
2024-10-25 20:54
5.8K
php82-sysvsem-8.2.30-r1.apk
2025-12-17 20:31
5.8K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 20:53
5.8K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 20:49
5.8K
php81-gettext-8.1.34-r0.apk
2025-12-17 02:37
5.8K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 20:46
5.8K
memdump-1.01-r1.apk
2024-10-25 20:50
5.8K
py3-class-doc-1.25-r1.apk
2024-10-25 20:53
5.8K
tayga-doc-0.9.5-r0.apk
2025-06-20 07:59
5.8K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 20:53
5.8K
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:55
5.8K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 20:54
5.8K
mint-x-theme-metacity-2.3.2-r0.apk
2025-11-14 22:58
5.8K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:57
5.8K
perl-term-size-0.211-r5.apk
2025-06-30 09:24
5.8K
perl-datetime-astro-doc-1.04-r0.apk
2025-07-21 08:48
5.8K
hdf4-doc-4.2.15-r2.apk
2024-10-25 20:48
5.7K
spvm-errno-doc-0.093-r1.apk
2025-06-30 09:24
5.7K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 20:54
5.7K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:10
5.7K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 20:54
5.7K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
5.7K
libhwpwm-0.4.4-r0.apk
2024-10-25 20:49
5.7K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
5.7K
spvm-thread-doc-0.003-r1.apk
2025-06-30 09:24
5.7K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 20:54
5.7K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
5.7K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 20:54
5.7K
walk-sor-0_git20190920-r1.apk
2024-10-25 20:58
5.7K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 20:54
5.6K
perl-syntax-operator-in-doc-0.10-r1.apk
2025-06-30 09:24
5.6K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-08 12:42
5.6K
xmoto-doc-0.6.3-r0.apk
2025-10-03 17:03
5.6K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 20:49
5.6K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 20:54
5.6K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 20:53
5.6K
sq-doc-0.48.5-r10.apk
2025-12-04 22:25
5.6K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:18
5.6K
dbus-broker-doc-37-r0.apk
2025-06-17 10:18
5.6K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 20:53
5.6K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-12 13:45
5.6K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 20:49
5.6K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.6K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 20:53
5.6K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 20:46
5.6K
fpp-doc-0.9.5-r0.apk
2024-10-25 20:47
5.6K
bgs-0.8-r1.apk
2024-10-25 20:45
5.6K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-12 20:47
5.6K
startup-dev-2.0.3-r5.apk
2024-10-25 20:56
5.6K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:20
5.6K
stern-bash-completion-1.33.0-r1.apk
2025-12-04 22:25
5.6K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 20:53
5.6K
neocmakelsp-doc-0.9.0-r0.apk
2025-11-22 13:31
5.6K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 20:54
5.6K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 20:53
5.6K
aggregate6-pyc-1.0.14-r0.apk
2025-10-14 11:05
5.6K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 20:54
5.5K
py3-himitsu-0.0.9-r0.apk
2025-08-25 10:58
5.5K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 20:54
5.5K
fbdebug-1.0.1-r0.apk
2025-12-19 21:47
5.5K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:43
5.5K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 20:54
5.5K
vector-doc-0.50.0-r0.apk
2025-10-05 19:28
5.5K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 18:48
5.5K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.5K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 20:46
5.5K
mrsh-0_git20210518-r1.apk
2024-10-25 20:51
5.5K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 20:53
5.5K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 20:47
5.5K
autoconf-policy-0.1-r0.apk
2024-10-25 20:45
5.5K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 08:00
5.5K
utop-doc-2.9.1-r4.apk
2024-10-25 20:58
5.5K
luksmeta-doc-9-r0.apk
2024-10-25 20:49
5.5K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 20:54
5.5K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-12 13:45
5.5K
perl-xml-rpc-2.1-r0.apk
2024-10-25 20:53
5.5K
libnfcdef-dev-1.0.1-r1.apk
2025-08-09 12:15
5.5K
termbox-dev-1.1.2-r1.apk
2024-10-25 20:57
5.5K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 20:54
5.5K
pfetch-doc-1.9.4-r0.apk
2025-10-21 15:00
5.5K
rankwidth-libs-0.9-r4.apk
2025-10-28 02:22
5.5K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 20:49
5.5K
materia-dark-compact-chromium-20210322-r3.apk
2025-07-10 16:38
5.5K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 10:33
5.4K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 20:54
5.4K
turnstile-doc-0.1.10-r3.apk
2024-10-25 20:58
5.4K
materia-dark-chromium-20210322-r3.apk
2025-07-10 16:38
5.4K
materia-compact-chromium-20210322-r3.apk
2025-07-10 16:38
5.4K
imapgoose-doc-0.4.1-r1.apk
2025-12-04 22:24
5.4K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 20:54
5.4K
perl-object-array-0.060-r0.apk
2024-10-25 20:53
5.4K
git2json-pyc-0.2.3-r8.apk
2024-10-25 20:47
5.4K
materia-chromium-20210322-r3.apk
2025-07-10 16:38
5.4K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 20:54
5.4K
perl-scalar-readonly-0.03-r2.apk
2025-06-30 09:24
5.4K
perl-aliased-doc-0.34-r4.apk
2024-10-25 20:53
5.4K
base64c-dev-0.2.1-r0.apk
2024-10-25 20:45
5.4K
lockrun-1.1.3-r1.apk
2024-10-25 20:49
5.4K
macchina-doc-6.4.0-r0.apk
2025-06-25 03:45
5.4K
harminv-doc-1.4.2-r1.apk
2024-10-25 20:48
5.4K
perl-aliased-0.34-r4.apk
2024-10-25 20:53
5.4K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 20:53
5.4K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 20:53
5.4K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 20:54
5.4K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 17:15
5.4K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 20:53
5.4K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 20:53
5.4K
php84-snappy-0.2.3-r0.apk
2025-04-09 22:06
5.4K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-09 12:15
5.4K
php82-snappy-0.2.3-r0.apk
2025-04-09 22:06
5.4K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:03
5.4K
py3-flask-markdown-0.3-r8.apk
2024-10-25 20:54
5.4K
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 20:51
5.4K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 20:53
5.4K
neard-doc-0.19-r0.apk
2024-10-25 20:51
5.3K
ubus-dev-2025.10.17-r0.apk
2025-10-25 13:01
5.3K
kompose-bash-completion-1.31.2-r15.apk
2025-12-04 22:24
5.3K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 20:48
5.3K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:07
5.3K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 20:53
5.3K
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-12 20:47
5.3K
perl-list-keywords-doc-0.11-r1.apk
2025-06-30 09:24
5.3K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:31
5.3K
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 13:16
5.3K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:09
5.3K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 20:54
5.3K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-10 23:05
5.3K
py3-rst-0.1-r9.apk
2024-10-25 20:54
5.3K
qperf-doc-0.4.11-r2.apk
2025-05-14 17:30
5.3K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-14 17:30
5.3K
upterm-bash-completion-0.17.0-r1.apk
2025-12-04 22:25
5.3K
perl-template-tiny-1.16-r0.apk
2025-07-24 12:36
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 20:53
5.3K
wol-doc-0.7.1-r3.apk
2024-10-25 20:58
5.3K
kubeseal-doc-0.32.1-r2.apk
2025-12-04 22:24
5.3K
tick-doc-1.2.3-r0.apk
2025-10-06 06:06
5.3K
libglib-testing-dev-0.1.1-r0.apk
2025-05-08 12:40
5.3K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 20:54
5.3K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 20:54
5.3K
argocd-doc-3.2.0-r1.apk
2025-12-04 22:22
5.3K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-06-30 09:24
5.2K
ghq-doc-1.8.0-r6.apk
2025-12-04 22:23
5.2K
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 00:21
5.2K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.2K
reap-0.2-r0.apk
2025-09-13 13:04
5.2K
sstp-client-dev-1.0.20-r3.apk
2025-10-24 02:38
5.2K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.2K
spvm-mime-base64-doc-1.003-r1.apk
2025-06-30 09:24
5.2K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 20:53
5.2K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:48
5.2K
logc-config-0.5.0-r1.apk
2025-06-13 14:15
5.2K
perl-net-irr-0.10-r0.apk
2024-10-25 20:53
5.2K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 20:53
5.2K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 20:54
5.2K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 20:53
5.2K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 20:48
5.2K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 20:54
5.2K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:07
5.2K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 20:54
5.2K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 20:53
5.2K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 04:16
5.2K
perl-html-gumbo-doc-0.18-r2.apk
2025-06-30 09:24
5.2K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.2K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 20:54
5.2K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 20:53
5.2K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:57
5.1K
perl-git-version-compare-1.005-r0.apk
2024-10-25 20:53
5.1K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-12 20:47
5.1K
findtow-0.1-r0.apk
2024-10-25 20:47
5.1K
ruby-minitest-server-1.0.9-r0.apk
2025-11-21 15:41
5.1K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 12:49
5.1K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-06-30 09:24
5.1K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-20 16:06
5.1K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 20:56
5.1K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 20:49
5.1K
envsubst-0.1-r1.apk
2024-10-25 20:47
5.1K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 20:50
5.1K
wmctrl-doc-1.07-r1.apk
2024-10-25 20:58
5.1K
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-25 20:15
5.1K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 20:54
5.1K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 20:53
5.1K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 20:53
5.1K
serialdv-dev-1.1.5-r0.apk
2025-10-24 20:26
5.1K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 04:16
5.1K
perl-guard-doc-1.023-r10.apk
2025-06-30 09:24
5.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 20:54
5.1K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 20:53
5.1K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 20:53
5.1K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 20:58
5.1K
perl-xs-object-magic-doc-0.05-r0.apk
2025-12-13 07:35
5.1K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 20:54
5.1K
lua-resty-redis-0.29-r0.apk
2024-10-25 20:49
5.1K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:07
5.1K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:20
5.1K
xmp-doc-4.2.0-r0.apk
2024-10-25 20:58
5.1K
bat-extras-2024.08.24-r0.apk
2025-10-12 20:47
5.1K
perl-io-interactive-1.027-r0.apk
2025-09-01 13:16
5.1K
php81-ctype-8.1.34-r0.apk
2025-12-17 02:37
5.0K
acmeleaf-doc-0.2.0-r1.apk
2025-12-04 22:22
5.0K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 20:54
5.0K
syncwhen-0.3-r0.apk
2025-11-04 15:23
5.0K
perl-string-compare-constanttime-doc-0.321-r7.apk
2025-06-30 09:24
5.0K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 20:53
5.0K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 17:15
5.0K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:17
5.0K
php82-ctype-8.2.30-r1.apk
2025-12-17 20:31
5.0K
git-bug-bash-completion-0.8.1-r6.apk
2025-12-04 22:23
5.0K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 20:49
5.0K
perl-multidimensional-0.014-r1.apk
2025-06-30 09:24
5.0K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:43
5.0K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 20:49
5.0K
edward-doc-1.1.0-r0.apk
2024-10-25 20:46
5.0K
tcpbench-doc-3.00-r1.apk
2025-09-12 22:24
5.0K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 20:54
5.0K
py3-cjkwrap-pyc-2.2-r6.apk
2025-05-14 19:18
5.0K
mobpass-pyc-0.2-r6.apk
2024-10-25 20:51
5.0K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:43
5.0K
json2tsv-doc-1.2-r0.apk
2024-10-25 20:48
5.0K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 20:46
5.0K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 20:58
5.0K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 20:53
5.0K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 20:53
5.0K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.0K
perl-path-iter-0.2-r3.apk
2024-10-25 20:53
5.0K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 20:54
5.0K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 20:53
5.0K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-05 23:38
4.9K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 20:53
4.9K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 20:54
4.9K
tre-dev-0.8.0-r2.apk
2024-10-25 20:57
4.9K
minimodem-doc-0.24-r1.apk
2024-10-25 20:50
4.9K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 13:16
4.9K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 20:49
4.9K
rankwidth-static-0.9-r4.apk
2025-10-28 02:22
4.9K
apache2-mod-realdoc-1-r1.apk
2024-10-25 20:45
4.9K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 20:53
4.9K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 20:53
4.9K
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 07:57
4.9K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 20:53
4.9K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 20:53
4.9K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 20:53
4.9K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 20:53
4.9K
ruby-minitest-focus-1.3.1-r0.apk
2025-07-06 19:18
4.9K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 20:53
4.9K
ngs-vim-0.2.14-r0.apk
2024-10-25 20:51
4.9K
perl-url-encode-0.03-r4.apk
2024-10-25 20:53
4.9K
kine-doc-0.10.1-r18.apk
2025-12-04 22:24
4.9K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 20:53
4.9K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 20:54
4.9K
bomctl-bash-completion-0.1.9-r11.apk
2025-12-04 22:22
4.9K
kubepug-bash-completion-1.7.1-r15.apk
2025-12-04 22:24
4.9K
virtctl-bash-completion-1.6.2-r1.apk
2025-12-04 22:25
4.9K
perl-algorithm-permute-doc-0.17-r1.apk
2025-06-30 09:24
4.9K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-05 23:38
4.8K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 20:53
4.8K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-09 11:13
4.8K
gamemode-dev-1.8.2-r0.apk
2025-02-05 23:41
4.8K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 20:53
4.8K
perl-test-api-0.010-r2.apk
2024-10-25 20:53
4.8K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 20:54
4.8K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 17:36
4.8K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:05
4.8K
abnfgen-doc-0.21-r0.apk
2025-05-27 23:25
4.8K
hubble-cli-bash-completion-0.13.6-r10.apk
2025-12-04 22:24
4.8K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 20:54
4.8K
cilium-cli-bash-completion-0.16.13-r10.apk
2025-12-04 22:22
4.8K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 20:45
4.8K
linux-timemachine-1.3.2-r0.apk
2024-10-25 20:49
4.8K
pdf2svg-0.2.4-r0.apk
2025-10-03 08:45
4.8K
perl-linux-pid-0.04-r15.apk
2025-06-30 09:24
4.8K
sq-bash-completion-0.48.5-r10.apk
2025-12-04 22:25
4.8K
ko-bash-completion-0.17.1-r10.apk
2025-12-04 22:24
4.8K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 20:53
4.8K
libcork-tools-0.15.0-r7.apk
2024-10-25 20:49
4.8K
k3sup-bash-completion-0.13.6-r10.apk
2025-12-04 22:24
4.8K
caps2esc-0.3.2-r0.apk
2024-10-25 20:45
4.8K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 12:36
4.8K
py3-ask-0.0.8-r8.apk
2024-10-25 20:53
4.7K
perl-astro-telescope-doc-0.71-r0.apk
2025-07-21 08:48
4.7K
libdbusaccess-dev-1.0.20-r1.apk
2025-08-09 12:15
4.7K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:35
4.7K
mangal-bash-completion-4.0.6-r23.apk
2025-12-04 22:24
4.7K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 05:42
4.7K
perl-test-redisserver-0.23-r0.apk
2024-10-25 20:53
4.7K
paperde-dev-0.3.0-r2.apk
2025-08-21 08:05
4.7K
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 19:54
4.7K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 20:53
4.7K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 20:54
4.7K
git-revise-doc-0.7.0-r5.apk
2024-10-25 20:47
4.7K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-25 20:20
4.7K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 20:53
4.7K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 20:53
4.7K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 08:05
4.7K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 20:54
4.7K
perl-test-settings-0.003-r0.apk
2024-10-25 20:53
4.7K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-04 02:50
4.7K
ckb-next-dev-0.6.2-r1.apk
2025-09-06 17:16
4.7K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 20:54
4.7K
xfd-doc-1.1.4-r0.apk
2024-10-25 20:58
4.7K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 20:54
4.7K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 20:53
4.7K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 20:53
4.6K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 20:53
4.6K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:53
4.6K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.6K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-14 17:30
4.6K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:53
4.6K
horizon-dev-0.9.6-r9.apk
2024-10-25 20:48
4.6K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 20:53
4.6K
snore-0.3.1-r0.apk
2024-10-25 20:56
4.6K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 20:53
4.6K
sstp-client-doc-1.0.20-r3.apk
2025-10-24 02:38
4.6K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:09
4.6K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 20:53
4.6K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:33
4.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 20:53
4.6K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:33
4.6K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:21
4.6K
perl-encode-detect-doc-1.01-r1.apk
2025-06-30 09:24
4.6K
base64c-0.2.1-r0.apk
2024-10-25 20:45
4.6K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-06-30 09:24
4.6K
perl-perlio-locale-0.10-r13.apk
2025-06-30 09:24
4.6K
libb64-2.0.0.1-r0.apk
2024-10-25 20:49
4.6K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 20:53
4.5K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 17:33
4.5K
ruby-build-doc-20250925-r0.apk
2025-10-03 08:45
4.5K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 08:05
4.5K
perl-test-requires-git-1.008-r0.apk
2024-10-25 20:53
4.5K
hx-doc-1.0.15-r0.apk
2024-10-25 20:48
4.5K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 19:18
4.5K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:05
4.5K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 20:54
4.5K
bat-extras-batman-2024.08.24-r0.apk
2025-10-12 20:47
4.5K
py3-doi-pyc-0.2-r0.apk
2025-04-12 12:09
4.5K
xmag-doc-1.0.8-r0.apk
2024-10-25 20:58
4.5K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 20:53
4.5K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 20:46
4.5K
jsmn-1.1.0-r2.apk
2024-10-25 20:48
4.5K
duf-doc-0.9.1-r2.apk
2025-12-04 22:23
4.5K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 13:13
4.5K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 20:51
4.5K
perl-module-path-0.19-r0.apk
2025-06-08 16:43
4.5K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 20:54
4.5K
i2util-doc-4.2.1-r1.apk
2024-10-25 20:48
4.5K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 20:52
4.5K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 20:53
4.4K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 20:53
4.4K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 20:53
4.4K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 20:53
4.4K
libwhich-1.2.0-r0.apk
2024-10-25 20:49
4.4K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 17:36
4.4K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:47
4.4K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 20:54
4.4K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 20:46
4.4K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 21:38
4.4K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 20:53
4.4K
cataclysm-dda-doc-0h-r0.apk
2025-03-20 03:10
4.4K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 20:56
4.4K
perl-signature-attribute-checked-doc-0.06-r1.apk
2025-06-30 09:24
4.4K
surf-doc-2.1-r3.apk
2024-10-25 20:57
4.4K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.4K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 20:53
4.4K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 20:45
4.4K
py3-visitor-0.1.3-r7.apk
2024-10-25 20:54
4.4K
ry-0.5.2-r1.apk
2024-10-25 20:54
4.4K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 20:54
4.4K
z-1.12-r0.apk
2024-10-25 20:58
4.3K
nitro-init-doc-0.6-r0.apk
2025-12-16 23:50
4.3K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:56
4.3K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 08:52
4.3K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 20:53
4.3K
fnf-doc-0.1-r0.apk
2024-10-25 20:47
4.3K
hub-bash-completion-2.14.2-r36.apk
2025-12-04 22:24
4.3K
fuzzylite-6.0-r2.apk
2025-01-31 21:54
4.3K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 20:53
4.3K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 20:53
4.3K
flauschige-uhr-0.1-r1.apk
2024-10-25 20:47
4.3K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 20:47
4.3K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 14:15
4.3K
way-displays-doc-1.15.0-r0.apk
2025-10-03 08:45
4.3K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:51
4.3K
perl-data-clone-doc-0.006-r1.apk
2025-06-30 09:24
4.3K
wiki-tui-doc-0.9.1-r0.apk
2025-11-04 15:23
4.3K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 20:54
4.3K
perl-text-brew-0.02-r5.apk
2024-10-25 20:53
4.3K
iprange-doc-1.0.4-r1.apk
2024-10-25 20:48
4.3K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 20:48
4.3K
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
2025-06-30 09:24
4.3K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:18
4.3K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 20:56
4.3K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 20:53
4.3K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 20:54
4.3K
gr-satellites-doc-5.5.0-r6.apk
2025-10-12 12:22
4.3K
eatmemory-0.1.6-r2.apk
2024-10-25 20:46
4.3K
py3-cjkwrap-2.2-r6.apk
2025-05-14 19:18
4.3K
speedtest-go-doc-1.1.5-r20.apk
2025-12-04 22:25
4.2K
paperkey-doc-1.6-r2.apk
2024-10-25 20:53
4.2K
wakeonlan-0.42-r0.apk
2024-10-25 20:58
4.2K
quodlibet-bash-completion-4.7.1-r0.apk
2025-10-03 08:45
4.2K
gufw-doc-24.04-r3.apk
2024-11-19 22:14
4.2K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:18
4.2K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 20:53
4.2K
zrepl-bash-completion-0.6.1-r16.apk
2025-12-04 22:25
4.2K
perl-text-simpletable-2.07-r0.apk
2025-03-19 13:45
4.2K
lutgen-doc-1.0.1-r0.apk
2025-11-20 07:59
4.2K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:43
4.2K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 20:54
4.2K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 06:59
4.2K
setroot-doc-2.0.2-r1.apk
2024-10-25 20:56
4.2K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 05:42
4.2K
a2jmidid-doc-9-r3.apk
2024-10-25 20:45
4.2K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 13:30
4.2K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 20:53
4.2K
sct-2018.12.18-r1.apk
2024-10-25 20:56
4.2K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 20:51
4.2K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 20:54
4.2K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 20:53
4.1K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 20:53
4.1K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:48
4.1K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 15:08
4.1K
py3-infinity-1.5-r6.apk
2024-10-25 20:54
4.1K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 20:53
4.1K
kompose-fish-completion-1.31.2-r15.apk
2025-12-04 22:24
4.1K
qsynth-doc-1.0.3-r0.apk
2025-11-25 11:02
4.1K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:48
4.1K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 20:54
4.1K
colorpicker-0_git20201128-r1.apk
2024-10-25 20:46
4.1K
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 18:49
4.1K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-06-30 09:24
4.1K
logc-czmq-0.1.0-r0.apk
2024-10-25 20:49
4.1K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 20:53
4.1K
gl2ps-dev-1.4.2-r0.apk
2025-10-12 21:32
4.1K
bomctl-fish-completion-0.1.9-r11.apk
2025-12-04 22:22
4.1K
ticker-fish-completion-5.0.7-r7.apk
2025-12-04 22:25
4.1K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 20:54
4.1K
git-bug-fish-completion-0.8.1-r6.apk
2025-12-04 22:23
4.1K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 08:00
4.1K
kubepug-fish-completion-1.7.1-r15.apk
2025-12-04 22:24
4.1K
hubble-cli-fish-completion-0.13.6-r10.apk
2025-12-04 22:24
4.1K
gambit-doc-4.9.5-r1.apk
2025-04-07 07:48
4.1K
xpar-doc-0.7-r0.apk
2025-10-03 08:45
4.1K
helmfile-fish-completion-1.1.8-r1.apk
2025-12-04 22:24
4.1K
virter-fish-completion-0.29.0-r5.apk
2025-12-04 22:25
4.1K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:43
4.1K
regal-fish-completion-0.36.1-r1.apk
2025-12-04 22:24
4.1K
perl-uri-ws-doc-0.03-r0.apk
2025-03-19 13:45
4.1K
xisxwayland-2-r1.apk
2024-10-25 20:58
4.1K
virtctl-fish-completion-1.6.2-r1.apk
2025-12-04 22:25
4.1K
semaphoreui-fish-completion-2.16.37-r1.apk
2025-12-04 22:25
4.1K
tenv-fish-completion-4.9.0-r1.apk
2025-12-04 22:25
4.1K
cilium-cli-fish-completion-0.16.13-r10.apk
2025-12-04 22:22
4.1K
timoni-fish-completion-0.23.0-r10.apk
2025-12-04 22:25
4.1K
melange-fish-completion-0.37.0-r0.apk
2025-12-16 17:24
4.1K
glow-fish-completion-2.1.1-r5.apk
2025-12-04 22:23
4.1K
wgcf-fish-completion-2.2.29-r1.apk
2025-12-04 22:25
4.1K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 08:00
4.1K
stern-fish-completion-1.33.0-r1.apk
2025-12-04 22:25
4.1K
perl-asa-1.04-r0.apk
2025-06-11 16:35
4.1K
zot-cli-fish-completion-2.1.8-r2.apk
2025-12-04 22:25
4.1K
vali-dev-0.1.0-r1.apk
2025-11-30 11:58
4.0K
knative-client-fish-completion-1.19.6-r1.apk
2025-12-04 22:24
4.0K
colormake-0.9.20170221-r0.apk
2024-10-25 20:46
4.0K
xsane-doc-0.999-r2.apk
2024-10-25 20:58
4.0K
warpinator-nemo-2.0.0-r0.apk
2025-11-25 19:14
4.0K
buf-fish-completion-1.59.0-r1.apk
2025-12-04 22:22
4.0K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 20:54
4.0K
perl-devel-refcount-doc-0.10-r2.apk
2025-06-30 09:24
4.0K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 20:53
4.0K
ko-fish-completion-0.17.1-r10.apk
2025-12-04 22:24
4.0K
sq-fish-completion-0.48.5-r10.apk
2025-12-04 22:25
4.0K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 20:46
4.0K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.0K
pash-2.3.0-r2.apk
2024-10-25 20:53
4.0K
libaudec-dev-0.3.4-r3.apk
2024-10-25 20:49
4.0K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 20:53
4.0K
k3sup-fish-completion-0.13.6-r10.apk
2025-12-04 22:24
4.0K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 20:53
4.0K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 20:53
4.0K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-06-30 09:24
4.0K
powerstat-doc-0.04.01-r0.apk
2024-10-25 20:53
4.0K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 20:53
4.0K
perl-test-api-doc-0.010-r2.apk
2024-10-25 20:53
4.0K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 20:54
4.0K
qpdfview-doc-0.5-r2.apk
2025-01-29 19:22
4.0K
nautilus-python-doc-4.0.1-r0.apk
2025-10-12 21:54
4.0K
perl-ev-hiredis-doc-0.07-r3.apk
2025-06-30 09:24
4.0K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 20:53
4.0K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 20:57
4.0K
tnef-doc-1.4.18-r0.apk
2024-10-25 20:57
4.0K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 20:53
4.0K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 20:53
4.0K
primecount-doc-7.20-r0.apk
2025-11-25 19:14
4.0K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 20:49
4.0K
perl-log-message-simple-0.10-r3.apk
2024-10-25 20:53
4.0K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:08
4.0K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-09 21:13
4.0K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-04 02:50
3.9K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 20:54
3.9K
netdiscover-doc-0.21-r0.apk
2025-08-15 12:51
3.9K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 20:54
3.9K
libdng-doc-0.2.1-r0.apk
2024-12-27 22:17
3.9K
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-12 13:45
3.9K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 20:53
3.9K
uxn-doc-1.0-r0.apk
2024-10-25 20:58
3.9K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 20:53
3.9K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 20:58
3.9K
py3-mando-doc-0.8.2-r0.apk
2025-12-18 11:07
3.9K
rauc-doc-1.10.1-r0.apk
2024-10-25 20:54
3.9K
checkpolicy-doc-3.6-r0.apk
2024-10-25 20:46
3.9K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 04:16
3.9K
nwg-look-doc-1.0.6-r1.apk
2025-12-04 22:24
3.9K
libirecovery-dev-1.3.0-r0.apk
2025-10-06 17:41
3.9K
igrep-doc-1.2.0-r0.apk
2024-10-25 20:48
3.9K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 20:51
3.9K
w_scan2-doc-1.0.17-r0.apk
2025-06-11 17:46
3.9K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 20:53
3.9K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 04:16
3.9K
makeclapman-doc-2.4.4-r10.apk
2025-12-04 22:24
3.9K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 02:03
3.9K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 20:53
3.9K
infnoise-doc-0.3.3-r0.apk
2025-05-26 17:46
3.9K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 20:54
3.9K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 15:08
3.9K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 20:53
3.9K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 20:54
3.9K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 08:56
3.9K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:48
3.9K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
3.9K
foolsm-doc-1.0.21-r0.apk
2024-10-25 20:47
3.9K
cgo-doc-0.6.1-r1.apk
2024-10-25 20:46
3.9K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 14:09
3.9K
hurl-zsh-completion-7.1.0-r0.apk
2025-12-05 18:42
3.9K
agrep-doc-0.8.0-r2.apk
2024-10-25 20:45
3.9K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 20:54
3.8K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 20:54
3.8K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 17:06
3.8K
ampy-doc-1.1.0-r6.apk
2025-03-19 13:43
3.8K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 20:53
3.8K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 20:53
3.8K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 04:16
3.8K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 20:53
3.8K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 20:54
3.8K
perl-future-queue-0.52-r0.apk
2024-10-25 20:53
3.8K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:33
3.8K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 20:54
3.8K
py3-logtop-pyc-0.7-r1.apk
2025-08-09 12:15
3.8K
perl-test-redisserver-doc-0.23-r0.apk
2024-10-25 20:53
3.8K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 20:54
3.8K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-06-30 09:24
3.8K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 20:53
3.8K
heh-doc-0.6.2-r0.apk
2025-12-12 14:49
3.8K
gmenuharness-dev-0.1.4-r2.apk
2025-02-18 22:21
3.8K
primesieve-doc-12.10-r0.apk
2025-11-25 19:14
3.8K
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 18:31
3.8K
ticker-zsh-completion-5.0.7-r7.apk
2025-12-04 22:25
3.8K
bomctl-zsh-completion-0.1.9-r11.apk
2025-12-04 22:22
3.8K
hubble-cli-zsh-completion-0.13.6-r10.apk
2025-12-04 22:24
3.8K
git-bug-zsh-completion-0.8.1-r6.apk
2025-12-04 22:23
3.8K
kubepug-zsh-completion-1.7.1-r15.apk
2025-12-04 22:24
3.8K
virter-zsh-completion-0.29.0-r5.apk
2025-12-04 22:25
3.8K
stern-zsh-completion-1.33.0-r1.apk
2025-12-04 22:25
3.8K
kbs2-zsh-completion-0.7.3-r0.apk
2025-07-30 07:29
3.8K
wgcf-zsh-completion-2.2.29-r1.apk
2025-12-04 22:25
3.8K
virtctl-zsh-completion-1.6.2-r1.apk
2025-12-04 22:25
3.8K
glow-zsh-completion-2.1.1-r5.apk
2025-12-04 22:23
3.8K
cilium-cli-zsh-completion-0.16.13-r10.apk
2025-12-04 22:22
3.8K
admesh-dev-0.98.5-r0.apk
2024-10-25 20:45
3.8K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-19 13:45
3.8K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-19 14:53
3.8K
argocd-zsh-completion-3.2.0-r1.apk
2025-12-04 22:22
3.8K
timoni-zsh-completion-0.23.0-r10.apk
2025-12-04 22:25
3.8K
regal-zsh-completion-0.36.1-r1.apk
2025-12-04 22:24
3.8K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:55
3.8K
melange-zsh-completion-0.37.0-r0.apk
2025-12-16 17:24
3.8K
helmfile-zsh-completion-1.1.8-r1.apk
2025-12-04 22:24
3.8K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 21:17
3.8K
upterm-zsh-completion-0.17.0-r1.apk
2025-12-04 22:25
3.8K
semaphoreui-zsh-completion-2.16.37-r1.apk
2025-12-04 22:25
3.8K
tenv-zsh-completion-4.9.0-r1.apk
2025-12-04 22:25
3.8K
zot-cli-zsh-completion-2.1.8-r2.apk
2025-12-04 22:25
3.8K
libsds-dev-2.0.0-r1.apk
2024-10-25 20:49
3.8K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 20:54
3.8K
knative-client-zsh-completion-1.19.6-r1.apk
2025-12-04 22:24
3.8K
otrs-apache2-6.0.48-r2.apk
2024-10-25 20:53
3.8K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 20:57
3.8K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 20:53
3.8K
nom-doc-2.8.0-r8.apk
2025-12-04 22:24
3.8K
buf-zsh-completion-1.59.0-r1.apk
2025-12-04 22:22
3.8K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:48
3.8K
ko-zsh-completion-0.17.1-r10.apk
2025-12-04 22:24
3.8K
sq-zsh-completion-0.48.5-r10.apk
2025-12-04 22:25
3.8K
seed7-vim-05.20240322-r0.apk
2024-10-25 20:56
3.8K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:47
3.8K
perl-uri-nested-0.10-r0.apk
2024-10-25 20:53
3.7K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 14:09
3.7K
mangal-zsh-completion-4.0.6-r23.apk
2025-12-04 22:24
3.7K
k3sup-zsh-completion-0.13.6-r10.apk
2025-12-04 22:24
3.7K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 08:00
3.7K
cowsay-doc-3.04-r2.apk
2024-10-25 20:46
3.7K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 13:52
3.7K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 20:53
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 20:53
3.7K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 20:53
3.7K
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 00:49
3.7K
welle-io-doc-2.7-r0.apk
2025-04-07 19:08
3.7K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 20:53
3.7K
py3-luhn-0.2.0-r9.apk
2024-10-25 20:54
3.7K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 20:53
3.7K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 20:53
3.7K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 20:53
3.7K
pwauth-2.3.11-r2.apk
2024-10-25 20:53
3.7K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 20:53
3.7K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 20:53
3.7K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 20:53
3.7K
microsocks-doc-1.0.5-r0.apk
2025-12-21 23:53
3.7K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 10:27
3.7K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 20:54
3.7K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.7K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 08:05
3.7K
py3-flask-components-0.1.1-r9.apk
2024-10-25 20:54
3.7K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 20:53
3.7K
mangal-fish-completion-4.0.6-r23.apk
2025-12-04 22:24
3.7K
z-doc-1.12-r0.apk
2024-10-25 20:58
3.7K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 20:54
3.7K
tncattach-doc-0.1.9-r1.apk
2024-10-25 20:57
3.6K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:07
3.6K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 20:53
3.6K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:43
3.6K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:05
3.6K
perl-array-diff-doc-0.09-r0.apk
2025-07-09 21:13
3.6K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:47
3.6K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 20:53
3.6K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 20:53
3.6K
mdp-doc-1.0.18-r0.apk
2025-07-09 21:13
3.6K
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 01:20
3.6K
ty-pyc-0.0.4-r0.apk
2025-12-21 18:52
3.6K
perl-time-timegm-doc-0.01-r10.apk
2025-06-30 09:24
3.6K
perl-context-preserve-0.03-r4.apk
2024-10-25 20:53
3.6K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 20:53
3.6K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:43
3.6K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 20:54
3.6K
perl-lib-abs-0.95-r0.apk
2024-10-25 20:53
3.6K
perl-feed-find-0.13-r0.apk
2024-10-25 20:53
3.6K
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.6K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 20:53
3.6K
csol-doc-1.6.0-r0.apk
2024-10-25 20:46
3.6K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:43
3.6K
perl-math-random-isaac-xs-doc-1.004-r9.apk
2025-06-30 09:24
3.6K
emacs-avy-embark-collect-1.1-r0.apk
2025-04-23 05:43
3.6K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 20:48
3.6K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 20:53
3.6K
hyprpicker-doc-0.4.5-r1.apk
2025-12-14 11:02
3.6K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:40
3.6K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 20:53
3.6K
swappy-doc-1.7.1-r0.apk
2025-08-21 23:30
3.6K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 20:53
3.6K
gupnp-doc-1.6.9-r1.apk
2025-08-09 12:15
3.5K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-19 14:53
3.5K
perl-term-size-doc-0.211-r5.apk
2025-06-30 09:24
3.5K
tuptime-doc-5.2.4-r2.apk
2025-09-06 17:18
3.5K
finger-doc-0.5-r0.apk
2024-10-25 20:47
3.5K
nwipe-doc-0.39-r0.apk
2025-12-05 02:01
3.5K
swappy-lang-1.7.1-r0.apk
2025-08-21 23:30
3.5K
mml-doc-1.0.0-r1.apk
2025-10-03 08:44
3.5K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 04:16
3.5K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 20:53
3.5K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 15:08
3.5K
perl-b-hooks-op-check-doc-0.22-r1.apk
2025-06-30 09:24
3.5K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 20:51
3.5K
perl-anyevent-dbus-doc-0.31-r0.apk
2025-12-13 07:35
3.5K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 20:58
3.5K
perl-date-range-1.41-r0.apk
2025-07-08 16:55
3.5K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-04 15:22
3.5K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:06
3.5K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-05-04 02:50
3.5K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.5K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 20:53
3.5K
rauc-service-1.10.1-r0.apk
2024-10-25 20:54
3.5K
perl-sort-versions-1.62-r0.apk
2024-10-25 20:53
3.5K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 20:54
3.5K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 20:53
3.5K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 20:54
3.5K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 20:53
3.5K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 20:52
3.5K
hub-zsh-completion-2.14.2-r36.apk
2025-12-04 22:24
3.5K
dropwatch-doc-1.5.5-r2.apk
2025-12-04 22:23
3.4K
toml2json-doc-1.3.2-r0.apk
2025-09-01 13:13
3.4K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 08:05
3.4K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:48
3.4K
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:27
3.4K
gnome-mimeapps-0.1-r1.apk
2025-08-09 12:15
3.4K
logc-libevent-0.1.0-r0.apk
2024-10-25 20:49
3.4K
perl-proc-guard-0.07-r4.apk
2024-10-25 20:53
3.4K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 21:05
3.4K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 21:36
3.4K
captive-browser-doc-0_git20210801-r2.apk
2025-12-04 22:22
3.4K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:31
3.4K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:51
3.4K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:27
3.4K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 20:53
3.4K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 20:54
3.4K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 20:53
3.4K
perl-object-signature-1.08-r0.apk
2025-04-04 11:57
3.4K
wok-doc-3.0.0-r6.apk
2024-10-25 20:58
3.4K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 20:49
3.4K
wsmancli-doc-2.8.0-r0.apk
2025-07-14 09:41
3.4K
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-20 19:48
3.4K
flamelens-doc-0.3.1-r0.apk
2025-04-29 08:21
3.4K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:22
3.4K
pulseview-doc-0.4.2-r8.apk
2024-10-25 20:53
3.4K
perl-template-timer-doc-1.00-r0.apk
2025-04-12 15:52
3.4K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 17:13
3.4K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:47
3.4K
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:45
3.4K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 20:52
3.4K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 20:54
3.4K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-19 13:45
3.4K
lua-fn-0.1.0-r0.apk
2024-10-25 20:49
3.4K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:19
3.4K
kew-doc-3.6.4-r0.apk
2025-10-21 05:32
3.4K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 20:54
3.4K
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 19:54
3.4K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 20:53
3.4K
hurl-fish-completion-7.1.0-r0.apk
2025-12-05 18:42
3.4K
bcg729-dev-1.1.1-r1.apk
2025-10-15 08:21
3.4K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.4K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 20:53
3.4K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 20:54
3.4K
lua-resty-upload-0.11-r0.apk
2024-10-25 20:49
3.4K
perl-check-unitcheck-doc-0.13-r2.apk
2025-06-30 09:24
3.4K
ircd-hybrid-doc-8.2.47-r0.apk
2025-04-05 09:56
3.4K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 20:47
3.4K
ssss-doc-0.5.7-r0.apk
2024-10-25 20:56
3.3K
laze-doc-0.1.38-r0.apk
2025-07-20 20:27
3.3K
pacparser-dev-1.4.5-r1.apk
2024-10-25 20:53
3.3K
py3-flake8-todo-0.7-r7.apk
2024-10-25 20:54
3.3K
ijq-doc-1.2.0-r2.apk
2025-12-04 22:24
3.3K
perl-test-describeme-0.004-r0.apk
2024-10-25 20:53
3.3K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-04 02:50
3.3K
ccze-dev-0.2.1-r1.apk
2024-10-25 20:45
3.3K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 14:09
3.3K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.3K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 20:47
3.3K
py3-allfiles-1.0-r8.apk
2024-10-25 20:53
3.3K
cutechess-doc-1.3.1-r0.apk
2024-10-25 20:46
3.3K
snapper-zsh-completion-0.13.0-r0.apk
2025-10-03 08:45
3.3K
qrcodegen-doc-1.8.0-r0.apk
2025-11-29 18:56
3.3K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 20:58
3.3K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 20:53
3.3K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:43
3.3K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 20:53
3.3K
gsettings-qt-dev-1.1.0-r0.apk
2025-12-09 11:12
3.3K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:06
3.3K
wlclock-doc-1.0.1-r0.apk
2024-10-25 20:58
3.3K
fildesh-vim-0.2.0-r0.apk
2024-10-25 20:47
3.3K
uclient-dev-20241022-r0.apk
2025-05-29 22:00
3.3K
mint-y-theme-2.3.2-r0.apk
2025-11-14 22:59
3.3K
openocd-git-udev-0_git20251018-r1.apk
2025-10-20 19:48
3.3K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.3K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 20:53
3.3K
kabmat-doc-2.7.0-r0.apk
2024-10-25 20:48
3.3K
nvtop-doc-3.2.0-r0.apk
2025-04-29 23:40
3.3K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 20:53
3.3K
rgxg-dev-0.1.2-r2.apk
2024-10-25 20:54
3.3K
shellinabox-openrc-2.21-r3.apk
2024-10-25 20:56
3.3K
perl-test-randomresult-0.001-r0.apk
2024-10-25 20:53
3.3K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 20:51
3.3K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:56
3.3K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:51
3.3K
extrace-doc-0.9-r0.apk
2024-10-25 20:47
3.3K
enlighten-doc-0.9.2-r1.apk
2024-10-25 20:47
3.2K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.2K
ty-zsh-completion-0.0.4-r0.apk
2025-12-21 18:52
3.2K
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-20 19:48
3.2K
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.2K
copyq-doc-13.0.0-r1.apk
2025-12-04 22:23
3.2K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 20:53
3.2K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:43
3.2K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 20:51
3.2K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 20:54
3.2K
libbloom-dev-2.0-r0.apk
2024-10-25 20:49
3.2K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:18
3.2K
py3-utc-0.0.3-r9.apk
2024-10-25 20:54
3.2K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 08:19
3.2K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.2K
perl-ref-util-xs-doc-0.117-r9.apk
2025-06-30 09:24
3.2K
arif-dev-0.3.0-r0.apk
2025-11-30 10:13
3.2K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 20:56
3.2K
xload-doc-1.1.4-r0.apk
2024-10-25 20:58
3.2K
libuninameslist-dev-20230916-r0.apk
2024-10-25 20:49
3.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 20:53
3.2K
witchery-0.0.3-r2.apk
2024-10-25 20:58
3.2K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-06 17:18
3.2K
doasedit-1.0.9-r0.apk
2025-11-04 15:22
3.2K
stgit-vim-2.4.7-r1.apk
2024-10-25 20:56
3.2K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-19 13:45
3.2K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 20:53
3.2K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 20:53
3.2K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:43
3.2K
kbs2-fish-completion-0.7.3-r0.apk
2025-07-30 07:29
3.2K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:27
3.2K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 20:54
3.2K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 20:53
3.2K
perl-string-crc32-doc-2.100-r5.apk
2025-06-30 09:24
3.2K
perl-scalar-readonly-doc-0.03-r2.apk
2025-06-30 09:24
3.2K
perl-ppi-xs-doc-0.910-r2.apk
2025-06-30 09:24
3.2K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 20:53
3.2K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:41
3.2K
perl-template-timer-1.00-r0.apk
2025-04-12 15:52
3.2K
perl-anyevent-dbus-0.31-r0.apk
2025-12-13 07:35
3.2K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:22
3.2K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-04 15:23
3.2K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-04 02:50
3.2K
rio-terminfo-0.2.35-r0.apk
2025-11-14 22:59
3.2K
wl-clipboard-x11-5-r3.apk
2024-10-25 20:58
3.2K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-06 17:41
3.2K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 20:53
3.1K
beard-0.4-r0.apk
2024-10-25 20:45
3.1K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 20:54
3.1K
pixiewps-doc-1.4.2-r2.apk
2025-05-14 17:30
3.1K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:51
3.1K
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-18 00:03
3.1K
snapper-bash-completion-0.13.0-r0.apk
2025-10-03 08:45
3.1K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 20:53
3.1K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:19
3.1K
httpie-oauth-1.0.2-r9.apk
2024-10-25 20:48
3.1K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 20:53
3.1K
perl-devel-trace-0.12-r0.apk
2025-07-06 19:18
3.1K
noice-doc-0.8-r1.apk
2024-10-25 20:51
3.1K
rezolus-doc-2.11.1-r3.apk
2024-10-25 20:54
3.1K
luksmeta-dev-9-r0.apk
2024-10-25 20:49
3.1K
perl-devel-leak-doc-0.03-r14.apk
2025-06-30 09:24
3.1K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
2025-07-12 16:34
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 20:53
3.1K
openocd-git-dev-0_git20251018-r1.apk
2025-10-20 19:48
3.1K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 11:58
3.1K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 17:15
3.1K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-04 02:50
3.1K
perl-future-asyncawait-hooks-doc-0.02-r1.apk
2025-06-30 09:24
3.1K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 20:54
3.1K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 20:52
3.1K
compiz-utils-0.9.14.2-r13.apk
2025-11-29 00:01
3.1K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 20:54
3.1K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-21 17:34
3.1K
pasystray-doc-0.8.2-r0.apk
2024-10-25 20:53
3.1K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 20:53
3.1K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 20:53
3.1K
perl-array-diff-0.09-r0.apk
2025-07-09 21:13
3.1K
pick-doc-4.0.0-r0.apk
2024-10-25 20:53
3.1K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 20:51
3.1K
mergerfs-doc-2.41.1-r0.apk
2025-12-13 16:04
3.1K
fatrace-doc-0.18.0-r0.apk
2025-07-27 19:30
3.1K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-14 17:29
3.1K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:46
3.0K
click-doc-0.5.2-r4.apk
2025-02-18 22:21
3.0K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.0K
hub-fish-completion-2.14.2-r36.apk
2025-12-04 22:24
3.0K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 20:56
3.0K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 20:54
3.0K
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.0K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.0K
netscanner-doc-0.5.1-r1.apk
2025-07-01 18:42
3.0K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 20:53
3.0K
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 20:53
3.0K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.0K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 20:52
3.0K
perl-digest-crc-doc-0.24-r2.apk
2025-06-30 09:24
3.0K
initify-0_git20171210-r1.apk
2024-10-25 20:48
3.0K
fastd-doc-23-r0.apk
2025-01-27 21:33
3.0K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 20:48
3.0K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 20:52
3.0K
perl-variable-disposition-0.005-r0.apk
2024-10-25 20:53
3.0K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 20:53
3.0K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 21:17
3.0K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 20:54
3.0K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:43
3.0K
perl-flowd-doc-0.9.1-r11.apk
2025-06-30 09:24
3.0K
hatop-doc-0.8.2-r0.apk
2024-10-25 20:48
3.0K
perl-module-extract-version-1.119-r0.apk
2025-09-06 17:18
3.0K
oniux-doc-0.6.1-r0.apk
2025-09-08 15:39
3.0K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 20:57
3.0K
p910nd-doc-0.97-r2.apk
2024-10-25 20:53
3.0K
perl-bareword-filehandles-doc-0.007-r1.apk
2025-06-30 09:24
3.0K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 20:49
3.0K
tonutils-reverse-proxy-doc-0.4.6-r4.apk
2025-12-04 22:25
3.0K
kbs2-bash-completion-0.7.3-r0.apk
2025-07-30 07:29
3.0K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-05-04 02:50
3.0K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 20:53
3.0K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 17:13
3.0K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 16:28
3.0K
openocd-git-doc-0_git20251018-r1.apk
2025-10-20 19:48
3.0K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 20:53
3.0K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-20 19:48
3.0K
perl-math-libm-doc-1.00-r15.apk
2025-06-30 09:24
3.0K
py3-flask-headers-1.0-r9.apk
2024-10-25 20:54
2.9K
glow-doc-2.1.1-r5.apk
2025-12-04 22:23
2.9K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:43
2.9K
nuzzle-doc-1.6-r0.apk
2025-01-17 14:16
2.9K
libdng-dev-0.2.1-r0.apk
2024-12-27 22:17
2.9K
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 01:01
2.9K
perl-string-camelcase-0.04-r2.apk
2024-10-25 20:53
2.9K
perl-uri-redis-0.02-r0.apk
2024-10-25 20:53
2.9K
ty-fish-completion-0.0.4-r0.apk
2025-12-21 18:52
2.9K
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:21
2.9K
py3-flask-gzip-0.2-r8.apk
2024-10-25 20:54
2.9K
today-6.2.1-r0.apk
2025-06-17 15:29
2.9K
jalv-doc-1.6.8-r1.apk
2024-10-25 20:48
2.9K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-04 15:22
2.9K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-19 13:45
2.9K
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-04 15:22
2.9K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:06
2.9K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 20:53
2.9K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 20:45
2.9K
timeshift-doc-25.12.1-r0.apk
2025-12-12 19:28
2.9K
ptylie-doc-0.2-r2.apk
2025-05-14 17:30
2.9K
perl-multidimensional-doc-0.014-r1.apk
2025-06-30 09:24
2.9K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 15:08
2.9K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.9K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 20:54
2.9K
makedumpfile-openrc-1.7.8-r0.apk
2025-11-05 15:07
2.9K
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:27
2.9K
harminv-dev-1.4.2-r1.apk
2024-10-25 20:48
2.9K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 20:53
2.9K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:19
2.9K
ptouch-print-doc-1.7-r0.apk
2025-09-13 13:04
2.9K
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 10:45
2.9K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 20:53
2.9K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:40
2.9K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-19 13:45
2.9K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 20:53
2.9K
dwl-doc-0.7-r0.apk
2024-10-25 20:46
2.9K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 20:58
2.9K
lotide-openrc-0.15.0-r0.apk
2024-10-25 20:49
2.9K
perl-perlio-locale-doc-0.10-r13.apk
2025-06-30 09:24
2.9K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 20:53
2.9K
memdump-doc-1.01-r1.apk
2024-10-25 20:50
2.9K
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 08:09
2.8K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.8K
perl-http-thin-0.006-r0.apk
2024-10-25 20:53
2.8K
perl-data-binary-doc-0.01-r0.apk
2025-07-09 21:13
2.8K
sympow-doc-2.023.7-r2.apk
2024-10-25 20:57
2.8K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:37
2.8K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
2.8K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:19
2.8K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
2.8K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 20:58
2.8K
xcape-doc-1.2-r1.apk
2025-05-14 18:20
2.8K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:51
2.8K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-18 00:03
2.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.8K
csmith-doc-2.3.0-r2.apk
2024-10-25 20:46
2.8K
snore-doc-0.3.1-r0.apk
2024-10-25 20:56
2.8K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 20:54
2.8K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:37
2.8K
perl-linux-pid-doc-0.04-r15.apk
2025-06-30 09:24
2.8K
perl-class-unload-doc-0.11-r0.apk
2025-06-05 23:38
2.8K
sedutil-doc-1.15.1-r1.apk
2024-10-25 20:56
2.8K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 20:51
2.8K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:43
2.8K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 20:53
2.8K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 20:53
2.8K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 16:28
2.8K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 20:45
2.8K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 14:09
2.8K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 20:53
2.8K
hwatch-doc-0.3.11-r0.apk
2024-10-25 20:48
2.8K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:40
2.8K
llmnrd-doc-0.7-r1.apk
2024-10-25 20:49
2.8K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
2.8K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.8K
faultstat-doc-0.01.11-r0.apk
2024-10-25 20:47
2.8K
way-secure-doc-0.2.0-r0.apk
2025-04-18 22:26
2.8K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 20:53
2.8K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:43
2.8K
usb-moded-notify-0.2.1-r0.apk
2025-12-21 23:47
2.8K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 20:53
2.8K
opendht-doc-3.1.11-r0.apk
2025-01-27 20:57
2.8K
satellite-doc-1.0.0-r33.apk
2025-12-04 22:25
2.8K
geonames-dev-0.3.1-r2.apk
2024-10-25 20:47
2.8K
libctl-doc-4.5.1-r1.apk
2024-10-25 20:49
2.8K
hardinfo2-doc-2.2.13-r0.apk
2025-10-03 08:43
2.7K
tailspin-doc-5.5.0-r0.apk
2025-10-28 06:58
2.7K
bchunk-doc-1.2.2-r3.apk
2024-10-25 20:45
2.7K
pokoy-doc-0.2.5-r0.apk
2024-10-25 20:53
2.7K
rkdeveloptool-doc-1.1.0-r2.apk
2025-11-26 20:57
2.7K
nb-bash-completion-7.19.1-r0.apk
2025-05-24 22:12
2.7K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 20:53
2.7K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
2.7K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
2.7K
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:17
2.7K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 08:16
2.7K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.7K
perl-cache-lru-0.04-r0.apk
2024-10-25 20:53
2.7K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 20:53
2.7K
rke-doc-1.4.3-r20.apk
2025-12-04 22:24
2.7K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:51
2.7K
nb-zsh-completion-7.19.1-r0.apk
2025-05-24 22:12
2.7K
apulse-doc-0.1.14-r0.apk
2025-09-06 17:16
2.7K
build-next-overlay-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
2.7K
aggregate6-doc-1.0.14-r0.apk
2025-10-14 11:05
2.7K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 20:58
2.7K
remake-dev-1.5-r1.apk
2024-10-25 20:54
2.7K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 20:58
2.7K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 20:46
2.7K
rankwidth-dev-0.9-r4.apk
2025-10-28 02:22
2.7K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 20:58
2.7K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.7K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 20:49
2.7K
rankwidth-doc-0.9-r4.apk
2025-10-28 02:22
2.7K
restic.mk-0.4.0-r0.apk
2024-10-25 20:54
2.7K
nkk-dev-0_git20221010-r0.apk
2024-10-25 20:51
2.6K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.6K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.6K
nsnake-doc-3.0.0-r0.apk
2024-10-25 20:51
2.6K
pamtester-doc-0.1.2-r4.apk
2024-10-25 20:53
2.6K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 20:51
2.6K
lomiri-location-service-doc-3.3.0-r5.apk
2025-11-17 13:20
2.6K
pxalarm-3.0.0-r0.apk
2024-10-25 20:53
2.6K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 20:46
2.6K
desed-doc-1.2.1-r1.apk
2024-10-25 20:46
2.6K
pxmenu-1.0.0-r1.apk
2024-10-25 20:53
2.6K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 20:36
2.6K
sacc-doc-1.07-r1.apk
2025-09-12 22:24
2.6K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 19:55
2.6K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 20:58
2.6K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 20:46
2.6K
materia-gtk-theme-20210322-r3.apk
2025-07-10 16:38
2.6K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 20:46
2.6K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 15:45
2.6K
hunspell-fr-doc-7.7-r0.apk
2025-12-17 20:32
2.6K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 20:54
2.6K
logtop-doc-0.7-r1.apk
2025-08-09 12:15
2.6K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 20:53
2.6K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 20:53
2.6K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 20:54
2.6K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:17
2.6K
libabigail-bash-completion-2.8-r0.apk
2025-11-04 15:23
2.6K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-19 13:45
2.6K
mangr0ve-0.1.2-r0.apk
2024-10-25 20:50
2.5K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 20:54
2.5K
nb-fish-completion-7.19.1-r0.apk
2025-05-24 22:12
2.5K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-04 02:50
2.5K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 20:54
2.5K
pully-1.0.0-r0.apk
2024-10-25 20:53
2.5K
mml-zsh-completion-1.0.0-r1.apk
2025-10-03 08:44
2.5K
gatling-openrc-0.16-r6.apk
2024-10-25 20:47
2.5K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 20:46
2.5K
kirc-doc-0.3.3-r0.apk
2025-02-22 11:10
2.5K
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-18 00:03
2.5K
perl-data-binary-0.01-r0.apk
2025-07-09 21:13
2.5K
mint-x-theme-2.3.2-r0.apk
2025-11-14 22:58
2.5K
lfm-doc-3.1-r4.apk
2024-10-25 20:49
2.5K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 20:57
2.5K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 20:54
2.5K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 20:45
2.5K
rsstail-doc-2.2-r0.apk
2025-02-13 07:17
2.5K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 20:53
2.5K
pounce-openrc-3.1-r4.apk
2025-09-12 22:24
2.5K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 20:51
2.5K
pomo-doc-0.8.1-r28.apk
2025-12-04 22:24
2.5K
beard-doc-0.4-r0.apk
2024-10-25 20:45
2.5K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 20:53
2.5K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 20:48
2.5K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 20:53
2.5K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.5K
inlyne-zsh-completion-0.5.0-r0.apk
2025-12-22 01:54
2.5K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 20:53
2.5K
quodlibet-zsh-completion-4.7.1-r0.apk
2025-10-03 08:45
2.5K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 20:53
2.5K
ip2location-doc-8.6.1-r0.apk
2024-10-25 20:48
2.5K
libnih-doc-1.0.3-r7.apk
2024-10-25 20:49
2.4K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 20:46
2.4K
porla-openrc-0.41.0-r2.apk
2025-02-24 15:35
2.4K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 05:24
2.4K
reap-doc-0.2-r0.apk
2025-09-13 13:04
2.4K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-14 17:29
2.4K
endlessh-doc-1.1-r1.apk
2025-05-25 07:56
2.4K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 20:58
2.4K
mame-common-0.251-r0.apk
2024-10-25 20:50
2.4K
perl-dns-unbound-mojo-0.29-r2.apk
2025-06-30 09:24
2.4K
sxcs-doc-1.1.0-r0.apk
2024-10-25 20:57
2.4K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 20:54
2.4K
linux-gpib-udev-4.3.7-r0.apk
2025-12-07 00:00
2.4K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 20:54
2.4K
xsoldier-doc-1.8-r2.apk
2024-10-25 20:58
2.4K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 20:54
2.4K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 20:49
2.4K
trantor-doc-1.5.18-r0.apk
2024-10-25 20:57
2.4K
py3-unidns-examples-0.0.4-r0.apk
2025-11-04 15:23
2.4K
faust-vim-2.79.3-r0.apk
2025-06-07 18:47
2.3K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 02:22
2.3K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 20:51
2.3K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 18:04
2.3K
perl-tie-toobject-0.03-r0.apk
2025-03-19 13:45
2.3K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:26
2.3K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 20:53
2.3K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 20:51
2.3K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 20:54
2.3K
perl-class-unload-0.11-r0.apk
2025-06-05 23:38
2.3K
scooper-doc-1.3-r1.apk
2024-10-25 20:56
2.3K
ol-doc-2.6-r0.apk
2025-05-04 02:50
2.3K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 20:53
2.3K
nicotine-plus-doc-3.3.10-r0.apk
2025-05-04 02:50
2.3K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 20:53
2.3K
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-21 17:34
2.3K
ty-bash-completion-0.0.4-r0.apk
2025-12-21 18:52
2.3K
ghq-fish-completion-1.8.0-r6.apk
2025-12-04 22:23
2.3K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 20:58
2.3K
xendmail-doc-0.4.4-r0.apk
2025-08-06 08:57
2.3K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 20:53
2.3K
gradia-dev-1.9.0-r0.apk
2025-09-06 17:17
2.3K
flann-doc-1.9.2-r1.apk
2025-02-18 22:21
2.3K
libcotp-dev-3.1.1-r0.apk
2025-10-03 22:25
2.3K
stw-doc-0.3-r0.apk
2024-10-25 20:57
2.3K
slurm-doc-0.4.4-r0.apk
2024-10-25 20:56
2.3K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 20:54
2.3K
raku-system-query-doc-0.1.6-r1.apk
2025-08-29 08:25
2.3K
tup-vim-0.7.11-r1.apk
2025-06-19 08:53
2.3K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 20:57
2.3K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 20:53
2.3K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.2K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 20:58
2.2K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 20:58
2.2K
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:40
2.2K
freshrss-openrc-1.27.1-r0.apk
2025-11-04 15:22
2.2K
inlyne-fish-completion-0.5.0-r0.apk
2025-12-22 01:54
2.2K
ghq-zsh-completion-1.8.0-r6.apk
2025-12-04 22:23
2.2K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 20:45
2.2K
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 20:47
2.2K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 20:54
2.2K
seed7-nano-05.20240322-r0.apk
2024-10-25 20:56
2.2K
flare-engine-doc-1.14-r1.apk
2025-11-05 14:58
2.2K
lsdvd-doc-0.17-r0.apk
2024-10-25 20:49
2.2K
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 06:58
2.2K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 20:54
2.2K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 20:49
2.2K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:46
2.2K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 20:45
2.2K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.2K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2025-12-14 11:02
2.2K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 11:32
2.2K
hyprsunset-doc-0.3.3-r1.apk
2025-12-14 11:02
2.2K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 11:32
2.2K
gliderlabs-sigil-doc-0.11.0-r10.apk
2025-12-04 22:23
2.2K
perl-dns-unbound-ioasync-0.29-r2.apk
2025-06-30 09:24
2.2K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 17:13
2.2K
somebar-doc-1.0.3-r0.apk
2024-10-25 20:56
2.2K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 20:53
2.2K
apx-doc-2.4.5-r5.apk
2025-12-04 22:22
2.1K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 20:53
2.1K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 20:48
2.1K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 20:54
2.1K
ovn-openrc-25.09.2-r0.apk
2025-12-14 22:06
2.1K
openwsman-doc-2.8.1-r1.apk
2025-06-30 09:24
2.1K
hypridle-doc-0.1.7-r1.apk
2025-12-14 11:02
2.1K
btfs-doc-2.24-r12.apk
2024-10-25 20:45
2.1K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 20:53
2.1K
clipit-doc-1.4.5-r3.apk
2024-10-25 20:46
2.1K
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 02:22
2.1K
qmk-cli-udev-1.2.0-r0.apk
2025-11-29 12:12
2.1K
river-shifttags-doc-0.2.1-r1.apk
2025-05-14 17:30
2.1K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 20:53
2.1K
inlyne-bash-completion-0.5.0-r0.apk
2025-12-22 01:54
2.1K
gaupol-doc-1.12-r2.apk
2024-10-25 20:47
2.1K
exercism-fish-completion-3.2.0-r18.apk
2025-12-04 22:23
2.1K
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2025-08-29 08:25
2.1K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 20:54
2.1K
lutris-doc-0.5.19-r1.apk
2025-10-03 08:44
2.1K
perl-dns-unbound-anyevent-0.29-r2.apk
2025-06-30 09:24
2.1K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:46
2.1K
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 20:53
2.1K
perl-uri-ws-0.03-r0.apk
2025-03-19 13:45
2.1K
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 10:31
2.1K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 20:48
2.1K
libtins-doc-4.5-r2.apk
2025-10-15 08:23
2.1K
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk
2025-12-04 22:22
2.1K
ruby-crack-1.0.1-r0.apk
2025-10-21 04:15
2.1K
semaphoreui-doc-2.16.37-r1.apk
2025-12-04 22:25
2.1K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 20:57
2.1K
hurl-bash-completion-7.1.0-r0.apk
2025-12-05 18:42
2.1K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 20:48
2.0K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.0K
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 17:15
2.0K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 20:46
2.0K
thelounge-doc-4.4.3-r0.apk
2024-10-25 20:57
2.0K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 20:53
2.0K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 20:53
2.0K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 20:46
2.0K
innernet-openrc-1.6.1-r0.apk
2024-10-25 20:48
2.0K
bgs-doc-0.8-r1.apk
2024-10-25 20:45
2.0K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:07
2.0K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 20:58
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:07
2.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 20:47
2.0K
bootterm-dbg-0.5-r0.apk
2024-10-25 20:45
2.0K
dam-doc-0_git20250728-r0.apk
2025-12-22 21:23
2.0K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 20:53
2.0K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-06 17:18
2.0K
spacectl-doc-1.12.0-r6.apk
2025-12-04 22:25
2.0K
ergo-ldap-doc-0.0.1-r22.apk
2025-12-04 22:23
2.0K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 20:57
2.0K
rio-doc-0.2.35-r0.apk
2025-11-14 22:59
2.0K
empede-doc-0.2.3-r0.apk
2024-10-25 20:46
2.0K
dnscontrol-doc-4.29.0-r0.apk
2025-12-18 21:14
2.0K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:57
2.0K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 20:58
2.0K
Ubuntu Linux
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
yaml-language-server-doc-1.19.2-r0.apk
2025-10-08 22:27
2.0K
maildir-rank-addr-doc-1.4.1-r1.apk
2025-12-04 22:24
2.0K
httpx-doc-1.7.4-r0.apk
2025-12-09 23:38
2.0K
scenefx-doc-0.4.1-r0.apk
2025-12-21 03:53
2.0K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
gx-doc-0.14.3-r35.apk
2025-12-04 22:23
2.0K
gx-go-doc-1.9.0-r37.apk
2025-12-04 22:23
2.0K
drogon-doc-1.9.4-r2.apk
2025-05-22 06:59
2.0K
copyq-bash-completion-13.0.0-r1.apk
2025-12-04 22:23
2.0K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 02:22
2.0K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 20:46
2.0K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:20
2.0K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 20:57
2.0K
tfupdate-doc-0.8.2-r11.apk
2025-12-04 22:25
2.0K
py-spy-doc-0.3.14-r3.apk
2024-10-25 20:53
2.0K
inlyne-doc-0.5.0-r0.apk
2025-12-22 01:54
2.0K
ssh-get-id-doc-0.2.0-r0.apk
2025-12-15 03:11
2.0K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.0K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-12 12:21
2.0K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:55
2.0K
nwg-menu-doc-0.1.9-r4.apk
2025-12-04 22:24
2.0K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 20:46
2.0K
webhookd-doc-1.20.2-r5.apk
2025-12-04 22:25
2.0K
go-away-openrc-0.7.0-r1.apk
2025-09-06 17:17
2.0K
dbmate-doc-2.28.0-r1.apk
2025-12-04 22:23
2.0K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
imgdiff-doc-1.0.2-r31.apk
2025-12-04 22:24
2.0K
ruby-dry-inflector-doc-1.2.0-r0.apk
2025-10-21 04:16
2.0K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 20:54
2.0K
goshs-doc-1.1.3-r0.apk
2025-12-18 21:15
2.0K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
katana-doc-1.2.2-r3.apk
2025-12-04 22:24
2.0K
remco-doc-0.12.5-r5.apk
2025-12-04 22:24
2.0K
packwiz-doc-0_git20251102-r1.apk
2025-12-04 22:24
2.0K
mods-doc-1.8.1-r3.apk
2025-12-04 22:24
2.0K
mml-bash-completion-1.0.0-r1.apk
2025-10-03 08:44
2.0K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 20:54
2.0K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 20:53
2.0K
helmfile-doc-1.1.8-r1.apk
2025-12-04 22:24
2.0K
httplz-doc-2.2.0-r0.apk
2025-05-18 15:39
2.0K
yamldiff-doc-0.3.0-r3.apk
2025-12-04 22:25
2.0K
tmpl-doc-0.4.0-r16.apk
2025-12-04 22:25
2.0K
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 04:19
2.0K
helm-ls-doc-0.5.4-r1.apk
2025-12-04 22:23
2.0K
catppuccin-whiskers-doc-2.5.1-r0.apk
2025-11-29 19:00
2.0K
webhookd-openrc-1.20.2-r5.apk
2025-12-04 22:25
2.0K
sshm-doc-1.9.0-r1.apk
2025-12-04 22:25
2.0K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 04:15
2.0K
exabgp-openrc-4.2.24-r1.apk
2025-09-06 17:16
2.0K
edit-doc-1.2.1-r0.apk
2025-10-16 13:17
2.0K
lefthook-doc-2.0.4-r1.apk
2025-12-04 22:24
2.0K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 14:15
2.0K
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-25 09:58
2.0K
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 06:58
2.0K
net-predictable-doc-1.5.1-r5.apk
2025-12-04 22:24
2.0K
hyx-doc-2024.02.29-r0.apk
2024-10-25 20:48
2.0K
ruri-doc-3.9.3-r0.apk
2025-10-27 08:52
2.0K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 20:54
2.0K
chess-tui-doc-2.0.0-r0.apk
2025-12-13 01:11
2.0K
jaq-doc-2.3.0-r0.apk
2025-07-20 10:41
2.0K
walk-doc-1.13.0-r8.apk
2025-12-04 22:25
2.0K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 20:54
2.0K
mml-fish-completion-1.0.0-r1.apk
2025-10-03 08:44
2.0K
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.0K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 19:18
2.0K
svls-doc-0.2.14-r0.apk
2025-12-13 03:00
2.0K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:10
2.0K
rot8-doc-1.0.0-r0.apk
2025-07-28 22:09
2.0K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 20:46
2.0K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 20:54
2.0K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 20:58
2.0K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 13:19
2.0K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 20:53
2.0K
motion-openrc-4.7.1-r0.apk
2025-10-03 08:44
2.0K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 20:54
2.0K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 17:49
1.9K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 20:57
1.9K
gnome-mahjongg-doc-49.0.1-r0.apk
2025-10-18 21:06
1.9K
porla-doc-0.41.0-r2.apk
2025-02-24 15:35
1.9K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 20:48
1.9K
gmid-openrc-2.1.1-r0.apk
2024-11-27 19:26
1.9K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 20:54
1.9K
adguardhome-openrc-0.107.71-r0.apk
2025-12-13 16:13
1.9K
flare-game-1.14-r0.apk
2024-10-25 20:47
1.9K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 20:48
1.9K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 20:45
1.9K
vector-openrc-0.50.0-r0.apk
2025-10-05 19:28
1.9K
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:06
1.9K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 20:48
1.9K
nano-hare-0_git20231021-r0.apk
2024-10-25 20:51
1.9K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:46
1.9K
fbcur-doc-1.0.1-r1.apk
2024-10-25 20:47
1.9K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 20:46
1.9K
planner-doc-0.14.92-r1.apk
2024-12-08 21:45
1.9K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 20:58
1.9K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 20:54
1.9K
qtpass-doc-1.4.0-r0.apk
2024-10-25 20:54
1.9K
hitide-openrc-0.15.0-r0.apk
2024-10-25 20:48
1.9K
lomiri-location-service-systemd-3.3.0-r5.apk
2025-11-17 13:20
1.9K
mdnsd-openrc-0.12-r1.apk
2024-10-25 20:50
1.9K
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk
2025-12-04 22:23
1.9K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:10
1.9K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 06:58
1.9K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:20
1.9K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:20
1.9K
exercism-zsh-completion-3.2.0-r18.apk
2025-12-04 22:23
1.9K
dstask-bash-completion-0.27-r4.apk
2025-12-04 22:23
1.9K
oauth2-proxy-openrc-7.11.0-r4.apk
2025-12-04 22:24
1.9K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.8K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 20:54
1.8K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 20:50
1.8K
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:41
1.8K
fildesh-doc-0.2.0-r0.apk
2024-10-25 20:47
1.8K
kondo-bash-completion-0.8-r0.apk
2024-10-25 20:49
1.8K
p910nd-openrc-0.97-r2.apk
2024-10-25 20:53
1.8K
viewnior-doc-1.8-r1.apk
2024-10-25 20:58
1.8K
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk
2025-12-04 22:24
1.8K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 20:58
1.8K
semaphoreui-openrc-2.16.37-r1.apk
2025-12-04 22:25
1.8K
kondo-fish-completion-0.8-r0.apk
2024-10-25 20:49
1.8K
dnote-bash-completion-0.16.0-r0.apk
2025-12-10 19:01
1.8K
pithos-doc-1.6.1-r0.apk
2024-10-25 20:53
1.8K
fuzzylite-doc-6.0-r2.apk
2025-01-31 21:54
1.8K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 20:46
1.8K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 18:56
1.8K
plakar-doc-1.0.6-r1.apk
2025-12-04 22:24
1.8K
nzbget-openrc-25.4-r0.apk
2025-11-17 14:41
1.8K
stalwart-mail-openrc-0.15.2-r0.apk
2025-12-23 01:38
1.8K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 20:54
1.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.8K
sdl3_image-doc-3.2.4-r0.apk
2025-03-24 20:40
1.8K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 20:45
1.8K
sc-controller-udev-0.5.5-r0.apk
2025-12-14 08:56
1.8K
kanidm-openrc-1.8.5-r0.apk
2025-12-13 18:57
1.8K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 01:01
1.8K
spacectl-bash-completion-1.12.0-r6.apk
2025-12-04 22:25
1.8K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 20:56
1.8K
firehol-openrc-3.1.7-r2.apk
2024-10-25 20:47
1.8K
metricbeat-openrc-9.2.0-r1.apk
2025-12-04 22:24
1.8K
utop-common-2.9.1-r4.apk
2024-10-25 20:58
1.8K
cortex-tenant-openrc-1.15.7-r2.apk
2025-12-04 22:23
1.8K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 20:45
1.8K
libuninameslist-doc-20230916-r0.apk
2024-10-25 20:49
1.8K
peervpn-openrc-0.044-r5.apk
2024-10-25 20:53
1.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 20:51
1.8K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
1.8K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 20:57
1.8K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 20:53
1.8K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 02:22
1.8K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 20:54
1.8K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.8K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.8K
lomiri-docviewer-app-doc-3.1.2-r0.apk
2025-11-15 10:36
1.8K
filebeat-openrc-9.2.0-r1.apk
2025-12-04 22:23
1.8K
dnote-zsh-completion-0.16.0-r0.apk
2025-12-10 19:01
1.8K
alps-openrc-0_git20230807-r17.apk
2025-12-04 22:22
1.8K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 15:45
1.7K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 20:53
1.7K
zot-openrc-2.1.8-r2.apk
2025-12-04 22:25
1.7K
vimv-doc-3.1.0-r0.apk
2025-10-12 21:23
1.7K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 08:53
1.7K
msh-openrc-2.5.0-r17.apk
2025-12-04 22:24
1.7K
geomyidae-openrc-0.34-r2.apk
2024-10-25 20:47
1.7K
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-06 17:16
1.7K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 20:54
1.7K
neocmakelsp-bash-completion-0.9.0-r0.apk
2025-11-22 13:31
1.7K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 12:09
1.7K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 20:54
1.7K
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 10:27
1.7K
stayrtr-openrc-0.6.3-r1.apk
2025-12-04 22:25
1.7K
mtail-openrc-3.2.26-r1.apk
2025-12-04 22:24
1.7K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-07 09:40
1.7K
pully-openrc-1.0.0-r0.apk
2024-10-25 20:53
1.7K
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk
2025-12-04 22:24
1.7K
downloader-cli-0.3.4-r2.apk
2025-05-14 18:20
1.7K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 08:53
1.7K
xisxwayland-doc-2-r1.apk
2024-10-25 20:58
1.7K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.7K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 20:51
1.7K
llvm-next-libgcc-dev-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.7K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 20:48
1.7K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-12 05:45
1.7K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 20:54
1.7K
tempo-vulture-openrc-2.9.0-r1.apk
2025-12-04 22:25
1.7K
exercism-bash-completion-3.2.0-r18.apk
2025-12-04 22:23
1.7K
mautrix-linkedin-openrc-0.2512.0-r0.apk
2025-12-16 18:23
1.7K
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-14 17:30
1.7K
agate-openrc-3.3.19-r0.apk
2025-10-03 08:43
1.7K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 20:48
1.7K
upterm-server-openrc-0.17.0-r1.apk
2025-12-04 22:25
1.7K
chasquid-openrc-1.17.0-r0.apk
2025-12-19 15:57
1.7K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 14:19
1.7K
mautrix-zulip-openrc-0.2511.0-r1.apk
2025-12-04 22:24
1.7K
daemontools-openrc-0.76-r3.apk
2024-10-25 20:46
1.7K
hardinfo2-openrc-2.2.13-r0.apk
2025-10-03 08:43
1.7K
zrepl-zsh-completion-0.6.1-r16.apk
2025-12-04 22:25
1.7K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 20:54
1.7K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-12 13:45
1.7K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 20:56
1.7K
bees-openrc-0.10-r2.apk
2024-10-25 20:45
1.7K
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
2025-06-30 09:24
1.7K
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-18 17:57
1.7K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 20:45
1.7K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 20:46
1.7K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 20:48
1.7K
empede-openrc-0.2.3-r0.apk
2024-10-25 20:46
1.7K
conntracct-openrc-0.2.7-r36.apk
2025-12-04 22:23
1.7K
openfire-openrc-4.8.1-r1.apk
2024-12-03 14:44
1.7K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:10
1.7K
sish-openrc-2.16.1-r10.apk
2025-12-04 22:25
1.6K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-08 12:40
1.6K
json2tsv-jaq-1.2-r0.apk
2024-10-25 20:48
1.6K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:17
1.6K
satellite-openrc-1.0.0-r33.apk
2025-12-04 22:25
1.6K
prometheus-ceph-exporter-openrc-4.2.5-r9.apk
2025-12-04 22:24
1.6K
Ceph
minisatip-openrc-1.3.4-r0.apk
2024-10-25 20:50
1.6K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 20:48
1.6K
flowd-openrc-0.9.1-r11.apk
2025-06-30 09:24
1.6K
otrs-openrc-6.0.48-r2.apk
2024-10-25 20:53
1.6K
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk
2025-12-04 22:24
1.6K
biboumi-openrc-9.0-r9.apk
2025-10-06 11:33
1.6K
tang-openrc-15-r0.apk
2025-01-20 04:17
1.6K
llmnrd-openrc-0.7-r1.apk
2024-10-25 20:49
1.6K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-13 16:04
1.6K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 08:53
1.6K
eiwd-openrc-3.10-r0.apk
2025-10-07 16:34
1.6K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 20:53
1.6K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 20:52
1.6K
i3bar-river-systemd-1.1.0-r1.apk
2025-10-29 22:52
1.6K
ssh-studio-lang-1.3.1-r0.apk
2025-10-12 20:36
1.6K
librespot-openrc-0.8.0-r0.apk
2025-11-20 07:59
1.6K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.6K
mtg-openrc-2.1.7-r26.apk
2025-12-04 22:24
1.6K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-28 14:24
1.6K
tempo-openrc-2.9.0-r1.apk
2025-12-04 22:25
1.6K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-28 14:24
1.6K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 20:46
1.6K
nullmailer-openrc-2.2-r4.apk
2024-10-25 20:51
1.6K
speedtest_exporter-openrc-0.3.2-r20.apk
2025-12-04 22:25
1.6K
yaru-schemas-25.10.1-r0.apk
2025-07-13 19:49
1.6K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:15
1.6K
3proxy-systemd-0.9.5-r1.apk
2025-11-17 13:19
1.6K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 20:53
1.6K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:06
1.6K
roll-bash-completion-2.6.1-r1.apk
2025-10-13 15:53
1.6K
battery-limit-openrc-1-r0.apk
2025-06-17 10:19
1.6K
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 19:23
1.6K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-06 17:16
1.6K
microsocks-openrc-1.0.5-r0.apk
2025-12-21 23:53
1.6K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 20:54
1.6K
jackal-openrc-0.64.0-r20.apk
2025-12-04 22:24
1.6K
reaction-openrc-2.2.1-r0.apk
2025-10-03 08:45
1.6K
aprilsh-openrc-0.7.12-r10.apk
2025-12-04 22:22
1.6K
nfcd-systemd-1.2.3-r1.apk
2025-11-15 11:11
1.6K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 20:51
1.6K
irccd-openrc-4.0.3-r0.apk
2024-10-25 20:48
1.6K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:17
1.6K
taskcafe-openrc-0.3.6-r18.apk
2025-12-04 22:25
1.6K
neocmakelsp-zsh-completion-0.9.0-r0.apk
2025-11-22 13:31
1.6K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 20:48
1.6K
filebrowser-openrc-2.27.0-r16.apk
2025-12-04 22:23
1.6K
vertigo-doc-0.3.4-r1.apk
2025-12-04 22:25
1.6K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 20:48
1.6K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 20:48
1.6K
synapse-bt-openrc-1.0-r4.apk
2024-10-25 20:57
1.6K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 20:51
1.6K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 20:51
1.6K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 20:51
1.6K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 20:51
1.6K
espeakup-openrc-0.90-r2.apk
2024-10-25 20:47
1.6K
spacectl-zsh-completion-1.12.0-r6.apk
2025-12-04 22:25
1.6K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 20:51
1.6K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 20:51
1.5K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 20:47
1.5K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 20:51
1.5K
gearmand-openrc-1.1.22-r0.apk
2025-09-06 17:17
1.5K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 20:56
1.5K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 20:51
1.5K
udpt-openrc-3.1.2-r0.apk
2024-10-25 20:58
1.5K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 20:58
1.5K
avahi2dns-openrc-0.1.0-r6.apk
2025-12-04 22:22
1.5K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-06-15 03:44
1.5K
crowdsec-openrc-1.7.4-r0.apk
2025-12-05 01:55
1.5K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 20:51
1.5K
cliphist-fzf-0.7.0-r1.apk
2025-12-04 22:22
1.5K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 13:20
1.5K
ghq-bash-completion-1.8.0-r6.apk
2025-12-04 22:23
1.5K
otrs-nginx-6.0.48-r2.apk
2024-10-25 20:53
1.5K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 20:51
1.5K
kopia-zsh-completion-0.21.1-r4.apk
2025-12-04 22:24
1.5K
docker-volume-local-persist-openrc-1.3.0-r38.apk
2025-12-04 22:23
1.5K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-28 14:24
1.5K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-20 07:59
1.5K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 13:20
1.5K
geodns-openrc-3.3.0-r18.apk
2025-12-04 22:23
1.5K
corosync-openrc-3.1.9-r0.apk
2025-05-29 03:16
1.5K
rkdeveloptool-udev-1.1.0-r2.apk
2025-11-26 20:57
1.5K
imapgoose-systemd-0.4.1-r1.apk
2025-12-04 22:24
1.5K
usb-moded-notify-systemd-0.2.1-r0.apk
2025-12-21 23:47
1.5K
syncwhen-systemd-0.3-r0.apk
2025-11-04 15:23
1.5K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 20:53
1.5K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 14:20
1.5K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 20:53
1.5K
kopia-bash-completion-0.21.1-r4.apk
2025-12-04 22:24
1.5K
phosh-tour-systemd-0.50.0-r2.apk
2025-12-15 13:15
1.5K
arc-darker-20221218-r0.apk
2024-10-25 20:45
1.5K
hyprsunset-openrc-0.3.3-r1.apk
2025-12-14 11:02
1.5K
arc-lighter-20221218-r0.apk
2024-10-25 20:45
1.5K
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 13:20
1.5K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 20:58
1.5K
arc-dark-20221218-r0.apk
2024-10-25 20:45
1.5K
rygel-systemd-45.0-r1.apk
2025-11-18 18:34
1.5K
tuptime-openrc-5.2.4-r2.apk
2025-09-06 17:18
1.5K
arc-20221218-r0.apk
2024-10-25 20:45
1.5K
lutgen-bash-completion-1.0.1-r0.apk
2025-11-20 07:59
1.5K
speedtest-go-openrc-1.1.5-r20.apk
2025-12-04 22:25
1.5K
gnome-user-share-systemd-48.2-r0.apk
2025-11-23 10:54
1.5K
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 10:27
1.5K
ipp-usb-openrc-0.9.30-r5.apk
2025-12-04 22:24
1.5K
imapgoose-openrc-0.4.1-r1.apk
2025-12-04 22:24
1.5K
bazaar-systemd-0.5.8-r0.apk
2025-11-04 15:22
1.5K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 17:46
1.5K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 20:58
1.5K
zrepl-openrc-0.6.1-r16.apk
2025-12-04 22:25
1.5K
razercfg-openrc-0.42-r7.apk
2024-10-25 20:54
1.5K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 20:53
1.5K
rinetd-openrc-0.73-r0.apk
2024-10-25 20:54
1.5K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-29 22:52
1.5K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 20:53
1.5K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-20 07:59
1.5K
neard-openrc-0.19-r0.apk
2024-10-25 20:51
1.4K
octoprint-openrc-1.10.3-r0.apk
2024-12-25 22:04
1.4K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 20:47
1.4K
nautilus-python-dev-4.0.1-r0.apk
2025-10-12 21:54
1.4K
remco-openrc-0.12.5-r5.apk
2025-12-04 22:24
1.4K
materia-dark-compact-20210322-r3.apk
2025-07-10 16:38
1.4K
hypridle-openrc-0.1.7-r1.apk
2025-12-14 11:02
1.4K
fileshelter-openrc-6.2.0-r4.apk
2025-10-03 08:43
1.4K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:04
1.4K
fastd-openrc-23-r0.apk
2025-01-27 21:33
1.4K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 21:09
1.4K
interception-tools-openrc-0.6.8-r3.apk
2025-10-12 14:20
1.4K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 20:49
1.4K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 13:19
1.4K
efl-gdb-1.28.1-r2.apk
2025-03-23 15:04
1.4K
materia-compact-20210322-r3.apk
2025-07-10 16:38
1.4K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 20:46
1.4K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:11
1.4K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:11
1.4K
materia-dark-20210322-r3.apk
2025-07-10 16:38
1.4K
dcmtk-openrc-3.6.9-r0.apk
2025-01-19 16:48
1.4K
sssd-openrc-2.11.1-r2.apk
2025-11-20 08:42
1.4K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 08:53
1.4K
oils-for-unix-bash-0.35.0-r0.apk
2025-10-03 08:44
1.4K
dstask-fish-completion-0.27-r4.apk
2025-12-04 22:23
1.4K
ruuvi-prometheus-openrc-0.1.9-r7.apk
2025-12-04 22:25
1.4K
repowerd-openrc-2023.07-r3.apk
2025-02-18 22:22
1.4K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 08:53
1.4K
conserver-openrc-8.2.7-r0.apk
2025-12-18 17:45
1.4K
materia-20210322-r3.apk
2025-07-10 16:38
1.4K
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk
2025-12-04 22:24
1.4K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 08:53
1.4K
dstask-zsh-completion-0.27-r4.apk
2025-12-04 22:23
1.4K
clang-next-ccache-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.4K
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 08:53
1.4K
pneink-theme-doc-1.3-r0.apk
2025-07-30 00:51
1.4K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 20:58
1.4K
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:19
1.4K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 08:53
1.4K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 08:53
1.4K
barman-bash-completion-3.16.2-r0.apk
2025-11-20 09:16
1.4K
aprilsh-0.7.12-r10.apk
2025-12-04 22:22
1.4K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 20:54
1.4K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 20:54
1.4K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 20:58
1.4K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 20:54
1.4K
neocmakelsp-fish-completion-0.9.0-r0.apk
2025-11-22 13:31
1.4K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:50
1.3K
build-next-22.0.0_pre20251108-r0.apk
2025-11-09 09:52
1.3K
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-20 19:48
1.3K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:03
1.3K
qt5ct-dev-1.9-r0.apk
2025-11-19 04:41
1.3K
66-init-0.8.2.1-r0.apk
2025-06-05 23:37
1.3K
remake-make-1.5-r1.apk
2024-10-25 20:54
1.3K
oils-for-unix-binsh-0.35.0-r0.apk
2025-10-03 08:44
1.3K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 20:49
1.3K
lumina-desktop-1.6.2-r0.apk
2024-10-25 20:49
1.2K
biboumi-doc-9.0-r9.apk
2025-10-06 11:33
1.2K
luacov-html-1.0.0-r1.apk
2024-10-25 20:49
1.2K
termcolor-2.1.0-r0.apk
2024-10-25 20:57
1.2K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 20:54
1.2K
lua-luastatic-0.0.12-r1.apk
2024-10-25 20:49
1.2K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 20:47
1.2K
aufs-util-dev-20161219-r3.apk
2024-10-25 20:45
1.2K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 20:49
1.2K
libwmiclient-1.3.16-r5.apk
2024-10-25 20:49
1.2K
font-monaspace-1.101-r0.apk
2024-10-25 20:47
1.2K
logc-libs-0.1.0-r0.apk
2024-10-25 20:49
1.2K
nextpnr-0.7-r0.apk
2024-10-25 20:51
1.2K
luacov-0.15.0-r0.apk
2024-10-25 20:49
1.2K
arc-theme-20221218-r0.apk
2024-10-25 20:45
1.2K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 20:49
1.2K
msgpuck-2.0-r1.apk
2024-10-25 20:51
1.2K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 23:25
1.2K
lua-xml-1.1.3-r2.apk
2024-10-25 20:49
1.2K
lua-linenoise-0.9-r1.apk
2024-10-25 20:49
1.2K
lua-lcurses-9.0.0-r0.apk
2024-10-25 20:49
1.1K
convert2json-jaq-2.4.1-r0.apk
2025-12-15 16:19
1.1K
lua-psl-0.3-r0.apk
2024-10-25 20:49
1.1K
convert2json-json-2.4.1-r0.apk
2025-12-15 16:19
1.1K
musikcube-plugin-all-3.0.5-r0.apk
2025-10-03 08:44
1.1K
convert2json-2.4.1-r0.apk
2025-12-15 16:19
1.1K
ruby-build-runtime-20250925-r0.apk
2025-10-03 08:45
1.0K
nb-full-7.19.1-r0.apk
2025-05-24 22:12
1.0K
convert2json-messagepack-2.4.1-r0.apk
2025-12-15 16:19
1.0K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 23:25
1.0K
baikal-mysql-0.10.1-r1.apk
2025-05-27 23:25
1.0K
convert2json-toml-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-yaml-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-xml-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-cbor-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-rsv-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-csv-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-plist-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-bson-2.4.1-r0.apk
2025-12-15 16:19
1.0K
convert2json-ini-2.4.1-r0.apk
2025-12-15 16:19
1.0K
phosh-osk-data-0.42.0-r0.apk
2025-12-16 18:19
1.0K
freshrss-sqlite-1.27.1-r0.apk
2025-11-04 15:22
1.0K
freshrss-pgsql-1.27.1-r0.apk
2025-11-04 15:22
1.0K
freshrss-mysql-1.27.1-r0.apk
2025-11-04 15:22
1.0K
gst-thumbnailers-1.0_alpha1-r2.apk
2025-12-21 03:53
1.0K
kanidm-1.8.5-r0.apk
2025-12-13 18:57
1.0K
elastic-beats-9.2.0-r1.apk
2025-12-04 22:23
1.0K
font-cascadia-2407.24-r1.apk
2025-05-27 14:37
1.0K
restinio-0.6.19-r1.apk
2024-12-14 21:36
1.0K
simpleble-0.10.3-r0.apk
2025-07-11 02:59
1.0K
libqofono-0.124-r0.apk
2025-01-10 12:05
1.0K
linuxptp-4.4-r0.apk
2024-11-17 17:33
1.0K
libnest2d-0.4-r7.apk
2025-02-06 04:46
1.0K